CN101088150B - 用于半导体的拉伸及压缩应力材料 - Google Patents

用于半导体的拉伸及压缩应力材料 Download PDF

Info

Publication number
CN101088150B
CN101088150B CN2005800389080A CN200580038908A CN101088150B CN 101088150 B CN101088150 B CN 101088150B CN 2005800389080 A CN2005800389080 A CN 2005800389080A CN 200580038908 A CN200580038908 A CN 200580038908A CN 101088150 B CN101088150 B CN 101088150B
Authority
CN
China
Prior art keywords
gas
treatment region
substrate
processing gas
deposition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN2005800389080A
Other languages
English (en)
Other versions
CN101088150A (zh
Inventor
米哈拉·巴尔塞努
郑起范
黄丽华李
夏立群
王荣平
蒂瑞克·R·威蒂
莱维斯·斯蒂恩
马丁·J·西蒙斯
希琴·姆塞德
迈克尔·C·克蔓
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN101088150A publication Critical patent/CN101088150A/zh
Application granted granted Critical
Publication of CN101088150B publication Critical patent/CN101088150B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

在基板上形成应力薄膜。所述基板置放在处理区中,且等离子体由所述处理区中所提供的处理气体形成,所述处理气体具有含硅气体与含氮气体。亦可再加入稀释气体,例如,氮。沉积后的应力材料可暴露于紫外线照射或电子束,以增大所沉积材料的应力值。作为附加或替换,氮气等离子体处理可用于增大沉积期间材料的应力值。还描述了用于沉积应力材料的脉冲等离子体方法。

Description

用于半导体的拉伸及压缩应力材料
相关申请的交叉引用
本申请要求2004年11月16日提交的、Balseanu等人的、题为“Deposition and Treatment of Tensile and Compressive Stressed Layers(拉伸及压缩应力层的沉积和处理)”的美国临时专利申请No.60/628,600的优先权,上述专利申请的内容通过引用整体结合于此。
技术领域
本发明大致是关于半导体技术,更明确而言,是关于一种在基板上沉积应力材料的方法。
背景技术
在制造电路及显示器的基板的制程中,基板典型上会曝露于能够在基板上进行沉积或蚀刻材料的供能处理气体。在化学气相沉积(CVD)工艺中,通过高频率电压或微波能量供能的处理气体会用以将材料沉积在基板上,所述基板可以是层状物,接触孔的填塞物,或其它选择性沉积结构。所述沉积层可以进行蚀刻或其它处理方式,以在基板上形成有源及无源器件,例如,金属氧化物半导体场效应晶体管(MOSFET)及其它器件。MOSFET典型上具有源极区,漏极区,及在所述源极与漏极之间具有通道区。在MOSFET器件中,在通道上方,会形成通过栅极介电质而与通道分离的栅电极,以控制所述源极与所述漏极间的传导。
这类器件的性能可通过(例如)降低供应电压,栅极介电厚度,或通道长度而改善。然而,这类常规方法随着器件的尺寸及占据空间越来越小,面临了装设的问题。例如,在极小的通道长度中,缩短通道长度以增加每单位面积晶体管数量及饱和电流的优点,会由载子速度饱和效应抵消,而这是我们不乐见的。因降低栅极介电质厚度所获得的类似优势(例如,缩短的栅极延迟),会因栅极漏电流的增加及电荷通过介电质的穿隧效应(这会随着时间流逝而损害晶体管),而受限在小型器件。供应电压的降低可允许较低的操作功率电平,但这样的降低亦会因晶体管阈值电压而受限。
在较新开发的增强晶体管性能的方法或较新开发的衬垫或垫覆材料方法中,着重于沉积材料的原子晶格,以增进材料本身的电性质,所述衬垫或衬覆材料会通过加压沉积材料所施加的力而应变。晶格应变可增加半导体的载子(如,硅)流动性,用以增加硅杂质晶体管的饱和电流而改良其性能。例如,局部的晶格应变可在晶体管的通道区中通过沉积晶体管的构成材料,而拥有内部的压缩或拉伸应力而引起。例如,作为用在栅电极的硅材料的蚀刻终止材料及间隔物使用的氮化硅材料会产生沉积,所述材料可作为承受应力的材料而导致晶体管通道区的应变。在沉积材料中期望的应力类型,取决于承受应力的材料的本性。例如,在CMOS器件的制造中,n通道MOS(NMOS)掺杂区会被具有正拉伸应力的拉伸应力材料覆盖;反之,p通道MOS(PMOS)掺杂区会被具有负应力值的压缩应力材料覆盖。
因此,业界希望形成具有预设类型应力(如,拉伸或压缩应力)的应力材料。业界亦希望可控制沉积材料中所产生的应力程度。业界还希望可沉积此类的应力材料,以在基板中产生均匀的局部应力或应变。业界还希望拥有可在基板上的有源或无源器件上方形成应力材料而不损害器件的工艺。
发明内容
在一个方案中,是在基板上形成应力材料。所述基板置放在处理区中,且等离子体会由所述处理区中所提供的处理气体形成,所述处理气体具有含硅气体与含氮气体。亦可再加入稀释气体,例如,氮。所述气体沉积材料会曝露在紫外线或电子束下,以增加沉积的氮化硅材料的应力。
在基板上沉积应力材料的另一方法中,所述基板设置在处理区中,及在第一处理周期中,会以供给所述处理区的处理气体维持等离子体。所述处理气体具有第一成分,所述第一成分具有含硅气体与非氮气的含氮气体,及第二成分,所述第二成分具有氮气。之后,在第二处理周期中,所述处理气体的第一成分的流动会终止,而具有氮气的所述第二成分的等离子体仍会维持。所述处理气体在预期的处理周期次数之后,即会由所述处理区排出。
在基板上沉积应力材料的再一方法中,所述基板设置在处理区中,所述处理区与处理室的多个电极为界。具有含硅气体与含氮气体的处理气体会注入所述处理区。处理气体中具有脉冲的等离子体,会通过令电压施加至邻接所述处理区的电极而产生,所述电压脉冲各具有一工作周期,且所述电压脉冲可以由约20至500瓦特的功率电平而将高射频电压传送至所述电极。
在基板上形成应力材料的再另一方法中,所述基板设置在处理区中,包含具有硅烷与氨的第一成分及具有氮气的第二成分的处理气体会注入所述处理区,及以所述处理气体形成等离子体。所述处理气体的第一成分对所述处理气体的第二成分的容积流量比至少约为1∶1 0。
在另一方案中,应力材料会形成在基板上,这是通过将所述基板设置在处理区中、将包含硅烷与氨的处理气体注入所述处理区、以及产生所述处理气体的等离子体来完成的。硅烷对氨的容积流量比为由约1∶1至约1∶3,且此应够低而足以沉积具有至少约500MPa的拉伸应力值的拉伸应力材料。
在再另一方案中,应力材料会沉积在基板上,这是通过将所述基板设置在处理区中、维持所述基板在约450℃至约500℃的温度、注入具有含硅气体与含氮气体的处理气体至所述处理区内、以及在所述处理区中形成由处理气体的等离子体来完成的。
在另一方案中,应力材料会沉积在基板上,这是通过将所述基板设置在以处理区的多个电极为界的处理区中来完成的。具有含硅气体与含氮气体的处理气体会注入所述处理区,及所述处理气体的等离子体会通过对与所述处理区为界的所述电极施加高射频电压而产生,所述高射频电压的施加为由约3MHz至约60MHz的范围的频率及低于约200瓦特的功率电平。
在再另一方案中,应力材料会沉积在基板上,这是通过将所述基板设置在以多个电极为界的处理区中、所述电极位于基板支架与室壁中、及将所述基板支架维持在相对在所述室壁的电浮动电位来完成的。具有含硅气体与含氮气体的处理气体会注入所述处理区,及所述处理气体的等离子体会通过对所述电极施加射频电压而产生。
在另一方案中,应力材料会沉积在基板上,这是通过将所述基板设置在以多个电极为界的处理区中、所述电极位于处理室的基板支架及气体散布器中来完成的。具有含硅气体与含氮气体的处理气体会经由所述气体散布器而注入所述处理区。负极DC偏压会施加于所述气体散布器,且产生所述处理气体的等离子
体。
在再另一方案中,应力材料会沉积在基板上,这是通过将所述基板设置在以多个电极为界的处理区中、所述电极位于处理室的基板支架及气体散布器中来完成的。正极DC偏压会施加于所述基板支架,具有含硅气体与含氮气体的处理气体会经由所述气体散布器而注入所述处理区,且产生所述处理气体的等离子体。
在更另一方案中,应力材料会沉积在基板上,这是通过执行沉积处理过程及退火处理过程来完成的。在所述沉积处理过程中,应力材料会沉积在基板上,这是通过将所述基板设置在处理区中、将具有含硅气体与含氮气体的处理气体注入所述处理区、产生所述处理气体的等离子体、及由所述处理区排出所述处理气体来完成的。在所述退火处理过程中,所述基板上的沉积应力材料会加热到至少约450℃的温度。
在另一方案中,应力材料会沉积在基板上,这是通过将所述基板设置在处理区中、将第一处理气体与第二处理气体注入所述处理区内、产生所述第一与第二处理气体的等离子体、及由所述处理区排出所述第一及第二处理气体。所述第一处理气体会以第一流动速率注入所述处理区中,且具有含硅气体及含氮气体。所述第二处理气体会以第二流动速率注入所述处理区中,且具有氢化锗,氩气及氢气。
在再另一方案中,应力材料会沉积在基板上,这是通过将所述基板设置在处理区中、将具有第一成分及第二成分的的处理气体注入所述处理区、产生所述处理气体的等离子体、及由所述处理区排出所述处理气体来完成的。所述第一成分会以第一流动速率注入所述处理区中,且具有含硅气体及含氮气体。所述第二成分会以第二流动速率注入所述处理区中,且具有氦气及氩气。所述第二成分对第一成分的容积流量比至少约为1∶1。
在另一方案中,应力材料会沉积在基板上,这是通过将所述基板设置在由位于处理室中的多个电极为界的处理区中来完成的。将处理气体注入所述室,所述处理气体具有(1)拥有含硅气体的第一成分,(2)拥有氮气及氨气的第二成分,及(3)拥有氩气的第三成分。将低射频电压施加至所述电极,以产生所述处理气体的等离子体,所述低射频电压的频率低于约1MHz。
在另一方案中,应力材料会沉积在基板上,这是通过将所述基板设置在由位于处理室中的多个电极为界的处理区中来完成的。将具有含硅气体与含氮气体的处理气体注入所述处理区,及产生由所述处理气体组成的等离子体,这可通过在所述电极施加以(1)低于约1 MHz的频率且至少约300瓦特的功率电平的低射频电压,及(2)至少约10MHz且至少约300瓦特的功率电平的高射频电压。
在更另一方案中,应力材料会沉积在基板上,这是通过将所述基板设置在由位于处理室中的多个电极为界的处理区中来完成的。将具有含硅气体与含氮气体的处理气体注入所述处理区,及产生所述处理气体的等离子体,这可通过(1)所述电极间的间距ds设定为低于约10.8mm,及(2)施加射频电压于所述电压。所述处理气体会由所述室排出,以将气压固定在至少约1.5Torr,藉此,压缩应力层可沉积在所述基板上。
附图说明
本发明的这些特征、方面及优势可由下文、所附权利要求书以及附图而变得更加清楚,所述附图绘示了本发明的多个示例。然而,应了解,每个特征大体上可用在本发明中,而不限于特定附图的背景条件中,且本发明包括所述特征的任意组合,其中:
图1是基板处理室的实施例的示意图,所述基板处理室是PE-CVD沉积室;
图2是曝射室的示意图,所述曝射室适用于令氮化硅材料曝露于适度的能量光束;
图3显示在增加基板温度时所沉积的材料时,量得的拉伸应力值;
图4A及图4B的图表以拉伸应力值与沉积材料的厚度均匀度显示硅烷及氨的流动速率效果;
图5A至图5D的图表以沉积材料的拉伸应力值,折射系数,沉积速率及厚度均匀度,显示硅烷及氨的流动速率效果;
图6A及图6B的图表针对增加流动速率的硅烷及氨,显示沉积材料的沉积速率,均匀度,拉伸值及折射系数的改变;
图7的图表以沉积材料的沉积速率及拉伸应力值显示氮气流动速率效果;
图8的图表显示在处理气体压力的增加之下,所沉积的氮化硅的拉伸应力值的改变;
图9的图表显示通过将低射频电压以不同的功率电平施加于电极,所沉积的氮化硅的拉伸应力值的改变;
图10A及图10B的图表以沉积材料的沉积速率,材料厚度均匀度,拉伸应力值,及折射系数,显示施加于处理室电极的高射频电压在增加功率电平时的效果;
图11的图表显示针对增加功率电平的高射频电压与不同的氮气等离子体处理程序过程之下,所量得的拉伸应力;
图12的图表显示在不同沉积及氮气等离子体处理程序过程下所量得的拉伸应力值以及折射系数;
图13的图表以氮气等离子体处理时间显示沉积材料的拉伸应力值的改变;
图14的图表针对具有不同的排气及抽气过程的处理,以拉伸值显示氮气等离子体处理时间的效果;
图15的条状图显示对在紫外线曝光时间的增加,在不同处理条件(A及B)之下沉积的材料的拉伸应力值的改变;
图16的图表显示承受应力的氮化硅材料在初镀状态时(初镀-连续线),及使用紫外线处理之后(经处理的薄膜-虚线)的傅立叶转换红外线(FTIR)光谱;
图17A至图17E的图表显示沉积的氮化硅材料的拉伸应力随着紫外线曝露时间增加而产生的改变,且图17A是关于单一波长(处理1)及宽频(处理2)的紫外线曝露;
图18的图表显示在不同处理条件,拉伸应力值随着电子束曝露过程的增加;
图19A至图19D的图表显示针对氩气对氮气的容积流量比的增加,沉积材料的压缩应力值,沉积速率,厚度均匀度及折射系数的改变;及
图20的基板的简化剖面图,显示了具有铺覆的沉积拉伸应力氮化硅材料的晶体管结构的部份图。
具体实施方式
图1示意性绘示根据本发明用以沉积应力材料的基板处理室80的实施例。尽管使用示例室来阐述本发明,而本领域普通技术人员亦可使用其它腔室。因此,本发明的范围不应限在所述室的示范实施例或本文提及的其它组件。大体上,所述室80是适于处理基板32(如,硅晶圆)的等离子体增强化学气相沉积(PE-CVD)室。例如,适用的处理室由美国加州SantaClara的Applied Materials所制造的
Figure GSB00000927741800081
SE型室。所述室80包含多个密封壁84,所述多个密封壁84包含顶盖88,多个侧壁92,及底壁96,以上将处理区100密封起来。所述室80亦包含衬垫(未示),所述衬垫环绕所述处理区100而作为至少部份的密封壁84的衬垫。为了处理300mm的硅晶圆,所述室的容积典型上约为20,000至约30,000cm3,且更典型上为约24,000cm3
在处理过程中,所述基板支架104降低,基板32被传递通过进气口110并通过基板运输器106(如,机械臂)设置在所述基架104上。所述基板支架104可在用以装载或卸载的较低位置,与可调整用以处理基板32的较高位置之间移动。所述基板支架104包含密封电极105,来由注入所述室80的处理气体产生等离子体。所述基板支架104可通过加热器107加热,所述加热器107可以是电阻式加热器件(如绘示般),加热灯(未示),或等离子体本身。所述基板支架104典型上包含陶瓷结构,所述陶瓷结构具有可容纳所述基板32的容纳表面且可将所述电极105及所述加热器107与所述腔室环境隔离。使用时,会施加射频(RF)电压至所述电极105,及施加直流(DC)电压至所述加热器107。所述基板支架104中的所述电极105亦可用以静电式地令所述基板32钳夹在所述支架104。所述基板支架104亦包含至少一个或多个环(未示),所述一个或多个环至少部份环绕所述支架104上的基板32的周围。
在基板32装载在所述支架104上之后,所述支架104会上升到接近所述气体散布器108的处理位置,以在两者之间提供一个理想的间隙距离ds。所述间隔距离由约2mm至约12mm。为了使处理气体均匀地分散在所述基板32上,所述气体散布器108位于所述处理区100上方。所述气体散布器108可将第一及第二处理气体等二独立气流,分离地释放至所述处理区100,而不须在气体注入所述处理区100之前先行令所述气体混合:或可在提供已预先混合的处理气体至所述处理区100之前,预先混合所述处理气体。所述气体散布器108包含面板111,所述面板111具有可允许气体穿透的多个孔洞112。所述面板111典型上由金属制成,以允许施加电压或电位于所述面板上,且可用以作为所述室80中的电极来使用。一个合适的面板111可由经电镀涂布的铝制成。所述基板处理室80亦包含第一及第二气体供应器124a,b,以将所述第一及第二处理气体送到所述气体散布器108,所述气体供应器124a,b各包含气体来源128a,b,一个或多个气体导管132a,b,及一个或多个气阀144a,b。例如,在一方案中,所述第一气体供应124a包含第一气体导管132a及第一气阀144a,以将来自所述气体来源128a的第一处理气体,传送至所述气体散布器108的第一入口110a,及所述第二气体供应128b包含第二气体导管及第二气阀144b,以将来自所述气体来源128b的第二处理气体,传送至所述气体散布器108的第二入口110b。
所述处理气体可通过耦合电磁能(例如,高频率电压能量)至所述处理气体而高能化,以由所述处理气体形成等离子体。为了令所述第一处理气体高能化,电压可施加于(1)所述支架104的电极105,与(2)可作为气体散布器108,顶盖88或腔室侧壁92的第一电极109之间。施加于所述对电极105,109之间的电压可电容地耦合能量至所述处理区100中的处理气体。典型上,施加至所述电极105,109的电压处于一射频。一般而言,射频涵盖的范围为由约3kHz至约300GHz。为了本发明的应用,低射频为低于约1MHz,且更佳为由约100KHz至约1MHz,例如,约300KHz的频率。同样地,为了本发明的应用的缘故,高射频由约3MHz至约60MHz,且更佳为约13.56MHz。所选择的射频电压会以由约10W至约1000W的功率电平施加至所述第一电极105,及所述第二电极109典型上会接地。然而,所使用的特定射频范围及所施加电压的功率电平是取决于欲沉积的应力材料的类型。
所述室80亦包含排气管182,以由所述室80除去用过的处理气体及副产品,及维持所述处理区100中的处理气体的预设气压。在一方案中,所述排气管182包含由所述处理区100接收用过的处理气体的抽吸管道184,排气口185,节流阀186,及一个或多个排气泵188,以控制所述室80中的处理气体的气压。所述排气泵188可包含涡轮分子泵,低温泵,低真空泵,及具有一个以上功能的组合功能泵中的一个或多个。所述室80亦包含穿透所述室80的底壁96的入口或入口管道(未示),以将净化气体注入所述室80。所述净化气体典型上由所述入口通过所述基板支架104向上流,而至环状抽吸管道。所述净化气体用以保护所述基板支架104的表面及其它腔室组件,使免于处理期间的不期望沉积。所述净化气体亦可用以依预期形式来影响所述处理气体的流动。
控制器196亦可设置来控制所述室80的活动率及操作参数。所述控制器196可包含(例如)处理器及存储器。所述处理器执行腔室控制软件,例如,储存在存储器中的计算机程序。所述存储器可以是硬盘驱动器,只读存储器,闪存,或其它类型的存储器。所述控制器196亦包含其它组件,如,软盘驱动器及卡片槽。所述卡片槽可容纳单板计算机,模拟及数字输入/输出板,接口板,及步进马达控制器板。所述腔室控制软件包含指令集,所述指令集代表时序,气体混合,腔室气压,腔室温度,微波功率电平,高频功率电平,支架位置,及其它特定处理的参数。
所述室80亦包含电源供应198,以将电源配送至不同的腔室组件,例如,所述第一基板支架104的第一电极105及所述室中的第二电极109。为了配送电源至所述腔室电极105,109,所述电源供应198包含射频电压源,所述射频电压源提供具有选择的射频与期望的选择功率电平的电压。所述电源供应198可包含单射频电压源,或可提供高及低射频两者的多电压源。所述电源供应198亦包含RF匹配电路。所述电源供应198可再包含静电充电源,以供应静电电荷至所述基板支架104的电极(通常是静电吸盘)。当加热器107在所述基板支架104内使用时,所述电源供应198亦包含加热器电源,所述加热器电源提供适当而可控制的电压至所述加热器107。当DC偏压施加于所述气体散布器108或所述基板支架104时,所述电源供应198亦包含DC偏压电压源,所述DC偏压电压源连接至所述气体散布器108的面板111的传导金属部份。所述电源供应198亦包含用于其它腔室组件(例如,腔室的马达及自动控制器件)的电源。
所述基板处理室80亦包含温度传感器(未示),如,可侦测所述室80内的表面(如,组件表面或基板表面)温度的热电耦或干涉计。所述温度传感器可将自己的数据传达至所述腔室控制器196,所述腔室控制器196可接着使用所述温度数据,例如,通过控制所述基板支架104中的电阻加热元件,来控制所述处理室80的温度。
不同类型的应力材料可沉积在所述示例室80中。常用来沉积的一种类型的应力材料包含氮化硅。通过氮化硅,即具有硅-氮键(Si-N)的材料,例如,氮氧化硅,硅氧氢氮,及硅、氮、氧、氢及甚至碳的符合化学计量或不符合化学计量的组合物。沉积氮化硅应力材料的示例方法的描述是以图例阐明本发明;然而,应了解,所述方法亦可用以沉积其它类型的材料,包含承受应力的氧化硅,承受应力的介电层,等等。因此,本发明的范围不应受限在本文描述的说明性应力氮化硅实施例。
近来发现有两类应力,即拉伸或压缩,及通过控制处理参数或通过以下文所述的方式处理沉积材料,即可将沉积氮化硅应力材料的应力值设定在所述沉积材料中。所述处理参数将独立再予叙述或另外以组合描述;然而,本领域普通技术人员应了解,本发明不应受限在本文述及的示例性独立或组合内容。
I.拉伸应力材料
在不受限在解释之下,已发现可通过降低沉积的氮化硅材料中的氢的净含量,或硅氢键(Si-H)的量,来获得具有较高应力值的氮化硅应力材料。沉积材料中的较低的氢含量会导致沉积后的氮化硅材料中可侦测得到的校少量硅氢键,而这会造成沉积材料中较高的拉伸应力值。数个不同的沉积处理参数,沉积材料的处理或其组合,还被发现为可用以达成沉积料中的较低氢含量,如本文所述般。
为了沉积拉伸应力的氮化硅材料,注入所述室的处理气体包含第一成分及第二成分,所述第一成分包含含硅气体及所述第二成分包含含氮气体。所述含氮气体可以是(例如)硅烷,乙硅烷,三甲基硅(TMS),三(二甲氨基)硅烷(TDMAS),双(异丁氨基)硅烷(BTBAS),二氯硅烷(DCS),及上述气体的组合物。例如,合适的硅烷流动速率由约5sccm至约100sccm。所述含氮气体可以是(例如)氨气、氮气及上述气体的组合。合适的氨气流动速率是约10sccm至约200sccm。所述处理气体亦包含稀释气体,所述稀释气体的供给体积远大于所述反应气体成分。所述稀释气体亦可作为稀释剂及(至少部份作为)反应物两者,例如,具有约5000sccm至约30,000sccm的流动速率的氮气。所述处理气体亦含有额外的气体,如,含氧气体,例如,当氮氧化硅材料沉积时,所述额外气体为氧气。除非另外声明,在这些处理中,典型气压由约3至约10Torr;基板温度由约300至600℃;电极间隔由约5mm(200mils)至约12mm(600mils);及RF功率电平由约5至约10瓦特。
较高的温度
在本发明的第一方面中,已发现通过在沉积时维持较高的基板温度,可获得较低的氢含量的沉积氮化硅材料。例如,图3显示基板温度对沉积材料的应力值的影响。在约400℃的最低评量温度时,沉积薄膜显现了稍微高于800MPa的拉伸值。处理温度的升高导致了增加的拉伸应力值。例如,在约475℃的较高温度时,可量得沉积材料具有1100MPa的拉伸应力值,及在约550℃的最高评量处理温度时,可量得沉积材料具有1200MPa的拉伸应力值。因此,处理温度的升高可令所述沉积材料产生较高的拉伸应力值。再者,在沉积材料上实施的傅立叶变换远红外线(FourierTransformed Infrared,FTIR)光谱测试可显示出,随着沉积处理温度的增加,沉积材料中的氮氢键(N-H)及硅氮键(Si-H)二者的波峰值皆会降低,表示硅氮键及氮氢键的长度亦会缩短。所述硅氢键随着温度升高,波峰值会降低,呈现出相反之趋势。因此,较高的沉积温度会导致沉积材料内的较低氢含量,这典型上以减少含量的硅氢键及所期望的较高硅氮键含量来鉴定。
然而,基板沉积温度为基板32上的其它材料曝露时不受损伤的最高温度所限。例如,当应力氮化硅材料沉积在基板上包含硅化镍的硅化物材料之上时,所述基板32的温度应维持在低于约500℃,及更典型上为约480℃。这是因为硅化镍材料会在曝露温度超过500℃时损坏,硅化物材料内的镍在高于500℃的温度会结块,这会(例如)导致硅化物材料的电阻升高,而这是不乐见的。因此,在硅化镍材料上的拉伸应力氮化硅的适合沉积温度范围由约450℃至约500℃。
高温退火后的低温沉积
在另一实施例中,在较高温度的沉积材料快速热退火之后,以一较低温度在基板32上进行材料的沉积,可再增加拉伸应力值。合适的低温沉积处理包含在高于沉积温度的退火温度进行退火之后,低于约420℃的温度。适用于低温沉积处理的温度范围由约100至约400℃。适用于退火处理的温度至少约450℃,及较佳为由400至600℃。高温退火处理受限在基板本身下垫层的熔点或热分解。低温沉积可降低所述基板的整体热曝露,及在高温的快速热退火处理可降低薄膜的氢含量,因此导致沉积薄膜中的拉伸应力提升。
硅烷/氨气比值
通过控制化学气相沉积反应中所用的反应气体成分的比值,沉积材料亦可获得较低的氢含量。例如,在氮化硅沉积中,含硅气体对含氮气体之比可控制沉积层的应力值。在基板32上沉积高拉伸应力氮化硅材料的示例性过程中,注入所述室80的所述处理气体含有含硅气体成分、含氮气体成分及稀释气体成分,所述含硅气体成分包含硅烷(SiH4),所述含氮气体成分包含氨气(NH3),所述稀释气体成分包含氮气(N2)。
图4A至图4B是氨气及硅烷流动速率对拉伸应力值及薄膜厚度均匀度的影响的示例。处理条件包含20,000sccm的氮气流动;6Torr的压力;30瓦特的功率电平;430℃的温度;及12mm(480mils)的电极间隔。在图4A中,氨气的流动速率会维持在500sccm,而硅烷的流动速率的变化为由25sccm至50sccm。可以看出,所述拉伸应力值会随着氨气流动速率的增加而降低,由流动速率约50sccm时略低于900MPa的应力值,到流动速率约500sccm时高于1050MPa的应力值。沉积层的厚度均匀度随着氨气流动速率的增加而增加,从流动速率约50sccm时小于0.6%的均匀度到流动速率约500sccm时约1.6%的均匀度。图4B示出针对在从50sccm变化到500sccm的氨气流动速率下且硅烷的恒定流动速率为25sccm时沉积的材料所测量的拉伸应力值。可以看出,所述拉伸应力值随着硅烷流动速率的增加而降低,从约25sccm的硅烷流动速率下约1060MPa的应力值到约50sccm的流动速率下略低于980MPa的应力值。厚度的均匀度百分率随着硅烷的气体流动速率增加而增加,由硅烷约25sccm的流动速率时约0.5%的均匀百分比,到硅烷约50sccm的流动速率时的约1.2%的均匀百分比。
图5A至图5D是硅烷及氨气流动速率对拉伸应力值,折射系数,沉积速率及厚度均匀度的影响。这些图表绘示出,大体上,硅烷对氨的较低比值可提供较高的拉伸应力值。图5A显示了提供富含Si/SiH的环境的硅烷的增加流动速率相对于在提供富含N/NH环境的硅烷的较低流动速率对拉伸应力值及折射系数的影响。大体上,拉伸应力值增大直至硅烷的流动速率为约21sccm,之后降低;而折射系数通常会随着硅烷的流动速率的提升而升高。图5B显示氨气(富含N/NH的环境)的增加流动速率,相对在氨气(富含Si/SiH环境)的较低流动速率,两者的量测拉伸应力及折射系数实质上会在约200sccm的氨气流速的处变为固定。图5C显示随着硅烷流动速率增加至约40sccm的流速时,沉积速率通常会上升,而均匀度会下降,而在这之后,均匀度则会提升。图5D显示随着氨气流动速率的上升,沉积速率通常会下降,而均匀百分比会升高,直至氨气的流动速率约400sccm为止,在这之后,均匀百分比实质上会维持一定。
图6A及图6B显示在上述处理条件中,硅烷及氨气的整体流动速率对沉积速率,厚度均匀度(%),拉伸值及折射系数的影响。图6A显示随着整体流速的增加,厚度均匀度通常亦随之增加,而沉积速率会增加至当整体流动速率约为150sccm时,之后沉积速率则下降。随着整体流速的增加,拉伸值通常会降低,而随着硅烷及氨气的整体流速增加,折射系数通常会随之上升,图6B示出拉伸应力值通常随着整体流速的增加而减小,折射系数通常随着硅烷和氨气的整体流速的增加而增加,以及硅烷及氨气的整体流速的增加对拉伸值及折射系数的影响。
因此,硅烷对氨气沉积材料的流动速率的降低可具有较高的拉伸应力值。因此,硅烷对氨气的容积流动速率的比值,可选择为够低而足以沉积具有(例如)至少约500MPa的拉伸应力的拉伸应力材料。较佳地,硅烷对氨气的比值为约1∶1至1∶3,及更佳为约1∶2。合适的组成包含25sccm的容积流动速率的硅烷及50sccm的容积流动速率的氨气。
含氮稀释气体
在够大的容积之下,亦可将包含氮气的稀释气体成分加入上述的处理气体中。因为相较于其它的处理气体成分,会使用远远较多体积量的氮气,是故称含氮稀释气体为稀释气体,但事实上,氮气的作用可以是稀释剂及反应气体两者。通过在沉积时控制出现在腔室中的稀释气体对其他气体成分的体积比,即可获得沉积材料中较低的氢含量。
图7显示氮气流动速率对沉积材料的沉积速率及拉伸应力值的影响。沉积速率通常会随着氮气流动速率的增加而降低,由约500sccm的氮气流速时的略低于
Figure GSB00000927741800161
的沉积速率,降至33,500sccm的氮气流速时的约
Figure GSB00000927741800162
的沉积速率。500sccm的氮气流速时的沉积材料的拉伸应力值会相对较低,约为800MPa。随着氮气流动速率由约5000sccm增加至10,000sccm时,所述拉伸应力值会由100MPa增加至1100MPa。在氮气流速为约20,000至约25,000sccm时,可获得约1200MPa的最高拉伸应力值。在流速高于25,000MPa时,即氮气的流速为33,5000时,沉积材料的拉伸值会开始降低,直至低于1200MPa为止。因此,对在约25,000sccm的本发明腔室容积而言,氮气流速为由约20,000至约25,000sccm时,可达到最高的拉伸应力值。因此,对拉伸应力材料而言,每单位腔室容积的流动速率的稀释气体(如,氮气)为由约0.8至约1。
在一个实施例中,硅烷与氨的合并容积流速对氮气的流速的比值,会维持在至少约1∶10,以提供沉积材料最理想的拉伸应力。例如,当硅烷与氨的合并容积流速为75sccm时,氮气的容积流速应至少为约7500sccm,且更典型地,为由约10,000至约20,000sccm。不为解释所限的,所述处理气体的较高氮含量会导致沉积材料具有较低的氢含量,且接着,会导致较高的拉伸应力。处理气体中含有较大量的稀释氮气可延长硅与氢的等离子体种类实质上处在气相的时间,用以增加在沉积材料中形成硅氮键(Si-N)形成的可能性,及降低形成在材料中的硅氢键的量。
气压范围
图8显示增加腔室中的处理气体压力对沉积材料的结果拉伸应力值及折射系数的影响。大体上,在约4至8Torr之间,沉积材料中引起的拉伸应力值会维持一致,在约1100MPa处((a)线)。6Torr的压力标准会产生最高拉伸应力,而低于6Torr及高于6Torr的压力会产生较低的拉伸应力值。超过8Torr的气压时,实质上拉伸应力值会下降。增加气压亦可产生较高的折射系数,所述折射系数升高直至约7Torr的气压为止,在这之后,折射系数就会下降。因此,气压较佳为由约4Torr至约8Torr。
高RF电压的低功率电平
通过施加高射频电压至所述电极105及令所述第二电极107接地,而由所述处理气体形成等离子体。高射频即范围由约3MHz至约60MHz的频率。通过由所述处理气体产生等离子体的CVD反应的活化通常是有利的,因为相较于热活化的CVD程序,这可允许较低温的处理。在所述示例中,会施加频率13.56MHz的高射频电压至所述电极105,109。
为了沉积拉伸应力的氮化硅材料,实质上仅会施加高频电压至所述电极105。低于约1MHz的低射频(如,300kHz的频率)不会施加至所述电极,因为实验上可判定,在沉积期间提高施加至所述电极的低频电压的功率电平,会导致沉积的材料具有不乐见的低拉伸应力值。例如,图9显示使用依不同功率电平施加于所述电极105,109的低射频电压时,所沉积的氮化硅材料所测得的拉伸应力值。如绘示般,在由低于10瓦特的功率电平的低RF电压产生的等离子体所沉积的氮化硅材料,会导致本质上一致的、略低于800MPa的拉伸应力值。增加低RF电压的功率电平可导致具有较低拉伸应力值的薄膜的沉积。例如,使用以约15瓦特的功率电平施加的低频电压所沉积的材料,可展现低于约600MPa的应力值,及以40瓦特的更高功率电平沉积的材料则展现约100MPa的负压缩应力值。因此,对拉伸应力材料沉积而言,实质上仅高RF电压会施加于所述电极105,109之间,而非低RF电压。
再者,还可判定,应以较低的功率电平施加高RF电压。图10A及图10B绘示增加高射频功率电平对沉积材料的沉积速率,材料厚度均匀度,拉伸应力值,及折射系数的影响。图10A显示150瓦特的功率电平前的沉积速率增加,及150瓦特的功率电平前的均匀度百分比的降低。图10B显示随着高频率功率电平的增加,拉伸应力值及折射系数的降低。施加至腔室电极105,109的高RF电压的功率电平应够低,而足以减少基板32承受高能化等离子体的撞击,这会降低已沉积材料的拉伸应力值。然而,高RF电压的功率电平不应太低,否则等离子体会不稳定,及因此,能量应够高以足以制造稳定的等离子体。基于这些需求,所施加的高RF电压的功率电平较佳为低于约200瓦特,及更佳地为约10至约100瓦特。
上述处理条件会沉积具有超过1.2GPa的拉伸应力值的拉伸应力氮化硅材料,所述拉伸应力值大幅超过先前所获得的100至1000MPa的拉伸应力值。较高的拉伸应力值被认为是来自在沉积后的氮化硅材料中的较低氢含量,这会因使用所选择的处理条件组合而依次发生,所述处理条件如下:硅烷对氨气的体积流速比,高稀释气体含量,高处理温度,及对腔室电极施加高射频电压。
用于基板支架的浮动电位
维持支持所述基板的基板支架在一浮动电位,亦可增加沉积材料的拉伸应力值,特别是维持在较高值的高RF功率电平时。例如,表格1显示了较高的拉伸应力值,所述拉伸应力值是在高功率电平的高RF电压施加至所述基板32下方的支架104时所获得。高射频是13.56MHz及功率电平超过200瓦特。虽然高功率电平的高RF电压通常会导致沉积材料的低拉伸应力,在所述基板支架104上施加浮动电位亦可提供超过1.1GPa的改良拉伸应力值。
表格I
在基板支架上具有浮动电位的高RF功率
Figure GSB00000927741800181
在所述方案中,所述基板支架104可以具有任何提及的结构,包含具有介电涂布的金属块,静电夹盘,及具有嵌入式电阻加热器件的金属块。
施加DC偏压电压
将DC(直流电流)偏压电压施加至所述气体散布器108或所述基板支架104中任一者,以再降低离子对所述基板32的撞击,及用以增加沉积材料的拉伸应力值。所述DC偏压电压可用以降低负电等离子体对所述基板的加速度。为了施加DC偏压电压至所述气体散布器108,所述电源供应200包含DC偏压电压源,所述DC偏压电压源可电连接至所述气体散布器的面板111。典型上,会施加负极DC偏压电压至所述气体散布器108,以降低对所述基板32的撞击。可施加至所述气体散布器108的合适负极DC偏压电压电平低于约200伏特,及更佳为由约25至约100伏特。
施加至所述基板支架104以降低离子对所述基板32的撞击的DC偏压,典型上是正极DC偏压电压。所述正极DC偏压可降低施加于朝向基板32前进的等离子体的净加速电压,因此,可降低撞击所述基板32的等离子体的动能。可施加至所述支架32的合适正极DC偏压电压电平低于约至少25伏特,及更佳为由约50至约100伏特。
氮气等离子体处理过程
另一发现是可通过以氮气等离子体处理过程来处理所述沉积的氮化硅薄膜,而再增加沉积后的氮化硅材料的应力值。通过修正所述沉积处理为二处理过程,即可执行这样的处理过程。在第一或沉积处理过程中,包含第一成分及第二成分的处理气体会注入所述腔室,及通过施加高频电压至所述腔室电极,可由所述处理气体形成等离子体,所述第一成分包含含硅气体及含氮气体,及所述第二气体包含稀释氮气。在第二或氮气等离子体处理过程中,所述处理气体的第一成分的流动会关闭或实质上终止,所述处理气体包含含硅气体及含氮气体;而包含所述稀释氮气的第二成分的流动则维持持续,及施加至所述电极以形成等离子体的高频电压亦会维持。在氮化硅材料沉积期间,所述二处理过程会重复数次。
同样的,不限于解释之下,氮气等离子体过程被相信为可再降低沉积的氮化硅中的氢含量。氮气等离子体过程可通过从沉积材料中移除硅氢键,而促进沉积氮化硅材料中的硅氮键的形成。然而,因为氮气等离子体处理仅可影响沉积的氮化硅薄膜的浅薄表面范围,故会在短暂的沉积处理过程之后形成氮气处理过程,在所述沉积处理过程期间在所述基板上仅可沉积一层氮化硅薄膜,氮化硅薄膜够薄而足以允许氮气等离子体处理实质上穿透所述沉积薄膜的整个厚度。若在沉积氮化硅薄膜的完整厚度之后,即执行氮气等离子体处理,所述沉积材料仅有一薄表面范围可进行适当处理。
所述改良的沉积处理是在等离子体处理过程之后包含足够次数的沉积过程,以达成所欲的薄膜厚度。例如,包含二十道处理过程的沉积处理可沉积厚度为
Figure GSB00000927741800201
的拉伸应力氮化硅材料,所述处理过程各包含第一沉积过程及第二氮气等离子体处理过程。各沉积过程皆执行约2至约10秒,而更典型地为约5秒;及各氮气等离子体处理过程会执行约10至约30秒,及更典型地为20秒。所述结果沉积拉伸应力氮化硅材料的厚度为
Figure GSB00000927741800202
及所述沉积材料的拉伸应力值会因氮气等离子体处理而增加至1.4GPa。这代表在沉积后的氮化硅材料的拉伸应力有着10至20%的改良。
表格II
以温度及氮气等离子体处理的拉伸薄膜应力
Figure GSB00000927741800203
表格II显示随着沉积期间的基板温度的增加,且存在/不存在多氮气等离子体处理过程时,沉积氮化硅材料的拉伸应力的改良。所述基线(单一材料)的氮化硅薄膜在单一沉积处理过程中,使用上述的处理条件而沉积,而缺乏氮气等离子体处理过程。所述基线薄膜显示出,当基板温度由400℃增加至500℃时,拉伸应力会由1GPa增加至约1.35GPa。所述NPT(nitrogen plasma treatment,氮气等离子体处理)薄膜会在多沉积及氮气等离子体处理过程存在之下进行沉积-其中NPT(1)对应至20秒的氮气等离子体处理过程,及NPT(2)对应至10秒的氮气等离子体处理过程。可见,对二NPT薄膜而言,在氮气等离子体处理存在时,拉伸应力高于所述基线的拉伸应力,且拉伸应力亦随着基板温度而增加。
图11针对不同的氮气等离子体处理程序条件,显示增加施加至所述电极105,109的高RF电压的功率电平对沉积材料的拉伸应力值的影响。第一程序(A)包含7秒钟的沉积阶段,之后为40秒钟的等离子体处理阶段,重复20次。第二程序(B)包含5秒钟的沉积阶段,之后为40秒钟的等离子体处理,重复30次。第三程序包含4秒钟的等离子体稳定阶段,5秒钟的沉积,及40秒钟的等离子体处理,重复30次。当高射频固定在略高于40瓦特的功率电平,时,所述第一及第三程序会造成最高的拉伸应力值,而在所述峰值两侧的拉伸应力值则降低。所述第三程序的拉伸应力值会因功率电平的增加而稳定下降,由功率为0瓦特时略高于1000MPa的拉伸应力值,下降至功率为100瓦特时的900MPa拉伸应力值。因此,针对氮气等离子体/沉积程序,可选择20至60瓦特且较佳为45瓦特的功率电平。
图12显示在不同的沉积程序及不同的氮气等离子体处理过程之后,由沉积的多个层所获得拉伸应力值及折射系数。上方的曲线表示测得的拉伸应力值,及下方的曲线则表示测得的折射系数。所述程序包含:仅进行沉积的程序;具有40秒钟净化而无RF功率的程序,以观察效果,亦即仅存在热作用;具有20秒钟净化,及接着20秒针等离子体步骤的程序;40秒钟等离子体步骤的程序;20秒钟等离子体步骤,及接着20秒钟净化的程序;3秒钟快速净化,及接着20秒钟等离子体步骤的程序;3秒钟抽气及20秒钟等离子体步骤的程序;及,3秒钟快速净化及10秒钟等离子体步骤的程序。最高的拉伸应力值发生在3秒钟抽气,20秒钟等离子体的程序,及3秒钟快速净化,10秒钟等离子体的程序。最低的拉伸应力值在仅在沉积的程序,及10秒钟净化的程序中测得。大体而言,所获得的应力值在长于10秒钟的等离子体处理期间达到最大且变平整;但是当加入抽气过程时,所述应力值不会因长于20秒钟的处理持续时间而饱和。
图13显示氮气等离子体处理的持续时间对沉积材料的拉伸应力值的影响。所述拉伸应力值会增加,直至到达约10秒钟的处理持续时间,在这之后,拉伸应力值会呈现饱和,且不会再升高。随着处理时间的增加,所述折射系数会稍微增加。图14针对具有3秒钟快速净化的程序,及3秒钟抽气的程序,显示处理持续时间对拉伸应力值的影响。图14中的拉伸应力值不像图13中者呈现那般地饱和,即使是在处理时间长达约20秒钟时。
高RF电压下的脉冲等离子体
通过脉冲推动施加于腔室80电极105,109的射频电压可沉积具有较高应力值的应力材料。脉冲等离子体亦提供更均匀的沉积材料的沉积厚度及应力值。对拉伸应力薄膜的沉积而言,高射频电压会用于所述脉冲沉积程序。所述处理气体包含如上述的含硅气体及含氮气体。例如,所述含硅气体包含硅烷,所述含氮气体包含氨气,及氮气可随机地加入,以沉积包含氮化硅的应力层。当以绘示范例提供特定材料(如氮化硅)时,应了解,其它的应力材料亦可通过脉冲CVD方法而沉积;因此,本发明的范围不应限在绘示范例。
通过在与所述室的处理区为界的电极上施加射频电压的电压脉冲,可产生所述处理气体的脉冲等离子体。各电压脉冲具有工作周期,所述工作周期是脉冲持续时间(T1)对脉冲周期(T2)之比。在脉冲波形中,所述脉冲持续时间为以下二者间的间隔:(1)在第一转换期间,脉冲振幅到达最终振幅的指定片段(水平)之时,及(2)在最后转换时,所述脉冲振幅降到相同水平之时。大体上,在最后振幅50%的点间的间隔,通常会用以判定或定义脉冲持续时间。较佳地,所述电压脉冲是矩形脉冲,但亦可以是其它的形状,例如方形或正弦脉冲。所述脉冲RF功率是以由约100至约500瓦特的功率电平来提供。所选的功率电平会较高,因为在较高的功率电平时,硅烷及氨气会更完全分离,且可因此而降低沉积薄膜的整体氢含量。
亦可为了控制所述沉积应力层的应力类型及等级,而选择所述电压脉冲的工作周期。可选择不同的脉冲类型,射频电平,瓦特数,及T2/T1比,来提供沉积应力薄膜的应力等级。大体上,可使用较小的工作周期来达成较高拉伸应力值。较小的工作周期可通过降低脉冲持续时间(T1)及/或通过增加脉冲周期(T2)来达成,反之亦然。较佳地,所述工作周期会少于约60%。所述工作周期范围较佳为由约10%至约50%,及较佳为由约20%起。对这样的工作周期而言,脉冲频率的范围由10至1000Hz。在较佳实施例中,50Hz的脉冲序列的工作周期是20%(例如,0.25),其中脉冲持续时间是4ms(例如,1μs)及脉冲周期是20ms(例如,4μs)。
在脉冲等离子体处理中,具有范围由3MHz至约60MHz的频率的高RF电压,会施加于所述电极105,109。会以由约100至约1000瓦特的功率电平施加高RF电压。在上文叙述的流动范围中,合适的处理气体包含硅烷,氨气,氮气及或许氩气。
紫外线射线曝露
通过将沉积材料进行对合适的能量束曝露的处理,可再增加沉积后氮化硅材料的拉伸应力。紫外线及电子束曝射可用以再降低沉积材料中的氢含量。所述能量束曝射可以在所述CVD室本身内或在分离室中执行。例如,具有沉积应力材料的基板会在所述CVD处理室中曝露于紫外线或电子束照射。在这样的实施例中,通过档板或通过在所述处理气体的流动之后,将曝射光源引入所述室中,所述曝射光源可避免CVD反应。紫外线或电子束可施加于基板,即CD沉积室在CVD反应进行应力材料的沉积的原始位置。在这个方案中,紫外线或电子束曝射在沉积反应期间会在不期望的键形成时即断裂的,用以增强了沉积应力材料的应力值。
图2显示了曝射室200的示范实施例,所述曝射室200可用以令基板32曝露于紫外线射线或电子束处理。在所示的方案中,所述室200包含基板支架104,所述基板支架104可在远离所述曝射光源204的释放位置与邻近所述曝射光源204的升高位置之间移动,以允许调整所述基板支架104与所述曝射光源204之间的间隔。基板支架104可支撑所述室200中的基板32。在所述基板32由在曝射室200嵌入及移去的期间,所述基板支架104可移动至装载位置,之后,在具有沉积氮化硅的基板32曝露于紫外线射线或电子束期间,所述支架104上升至升高位置,以令曝射程度达到最大。所述室200还包含加热器206,例如电阻元件,这可用以在基板32曝射时,加热所述基板32至期望的温度。入气口208的设置可将气体注入所述曝射室200,及出气口210的设置可从所述室200排出气体。
所述曝射室200还包含曝射光源204,所述曝射光源204提供合适的能量束,例如紫外线射线或电子束。合适的紫外线射线源可发射单一紫外线波长或紫外线波长的频宽。合适的单一波长紫外线源包含受激准分子紫外线源,所述受激准分子紫外线源可提供172nm或222nm的单一紫外线波长。合适的频宽源可产生波长为约200至约400nm的紫外线射线。这样的紫外线源可由美国的Fusion Company或美国的Nordson Company获得。所述应力氮化硅材料会曝露于具有其它波长的紫外线射线,这些具有其它波长的紫外线射线由含有可在接受电激发时发射特定波长的气体的灯具所产生。例如,合适的紫外线灯具包含氙气,所述氙气可产生波长172nm的紫外线射线。在其它方案中,所述灯具包含其它具有不同对应波长的气体,例如,水银灯可发射243nm的波长,重氢可发射140nm的波长,而二氯化氪可发射222nm的波长。同样,在一方案中,特别修改以更改沉积应力材料中应力值的紫外线射线的产生,可通过将混合气体注入所述灯具而完成,各气体在激发时,皆能够发射特征波长的射线。通过改变气体的相对浓度,可选择来自所述照射源的输出波长内容,以同时曝露所有想要的波长,因此可将必须曝露时间减到最短。为了在沉积氮化硅材料中获得预设的拉伸应力值,可选择紫外线射线的波长及强度。
所述CVD沉积室80及曝射室200亦可在通过单一机械臂伺服的多室处理平台(未示)上合并为一体。所述曝射室200的曝射光源204及支架,及所述CVD沉积室80的组件,及所述集成处理系统的机械臂,皆可通过系统控制器经由合适的控制线而控制,所述CVD沉积室80的组件包含基板支架104,马达,阀或流动控制器,气体传送系统,节流阀,高频功率供应,及加热器206。所述系统控制器依赖来自光学传感器的回馈,以判定可动机械组件(如,节流阀及基板支架104)的位置,所述可动机械组件可通过适当的马达在控制器的控制之下产生移动。
对所描述的曝射室200中的曝射处理而言,具有根据上述任一沉积处理或其它本领域已知的沉积处理的氮化硅材料的基板,会嵌入所述曝射室200,及设置在较低位置的基板支架104上。所述基板支架104会接着上升到升高位置,所述支架中的可选加热器206通电,及所述曝射光源204会活化。在曝射期间,气体(如,氦气)会在所述曝射室200中循环流动,以促进所述基板与所述支架间的热传递速率。亦可使用其它气体。在射线曝射期间之后,所述曝射光源204会去活化,且所述基板支架104会降低返回至释放位置。接着,承载接受曝射的氮化硅应力材料的基板会由所述曝射室200中移去。
图15的条状图显示紫外线照射处理对在不同处理条件下所沉积材料的拉伸应力值的影响,所述条件包含,A:压缩薄膜(45sccm SiH4/600sccmNH3/2000sccm He/30W HF/30W LF/2.5T/480mils/430C;及B:拉伸薄膜(75sccm SiH4/1600sccm NH3/5000sccm N2/50W HF/5WLF/6T/480mils/430C)。在400℃时会使用不同的宽频带UV处理时间5分钟及10分钟。对所有沉积薄膜而言,紫外线射线曝射会增加拉伸应力值,对具有最低拉伸应力值的材料(即材料A及B)而言,会产生最多的改良。A及B的拉伸应力水平由约-1500MPa增加至约-1300MPa。材料C及D亦产生增加。因此,对沉积材料而言,紫外线处理可增加拉伸应力值。
沉积氮化硅材料对紫外线射线或电子束的曝射,能够降低所述沉积材料的氢含量,及用以增加所述材料的拉伸应力值。对紫外线射线的曝射可允许以较期望的化学键取代较不期望的化学键。例如,可选择在曝射时发送的UV射线波长,来打断不期望的氢键,如,会吸收波长的硅氢键及氮氢键。剩余的硅分子会接着与可利用的氢分子形成期望的硅氮键。例如,图16显示了沉积后状态的应力氮化硅材料(沉积后,实线),及使用紫外线照射处理之后(经处理薄膜-虚线)的傅立叶转换红外线光谱(FTIR)。由所述FTIR光谱可见,在以紫外线照射处理之后,氮氢键的峰值及硅氢键的峰值两者的大小都显著地降低了,而硅氮键的峰值大小则增加。这证明了在经过紫外线处理之后,结果氮化硅材料含有较少的氮氢键及硅氢键,及提高的硅氮键的量,而这为增加所述沉积材料的拉伸应力所需。
图17A至图17E显示了承受不同时距的紫外线曝射处理次数的沉积后氮化硅材料拉伸应力值的增进。图17A的氮化硅材料会在以下处理条件中产生沉积:流速60sccm的硅烷;流速900sccm的氨气;流速10,000sccm的氮气;6Torr的处理气体压力;100watt的电极功率电平;及11mm(430mils)的电极间隔。沉积氮化硅薄膜的拉伸应力在沉积后状态时量得为约700MPa。X轴上标示为0至6的点,各个对应至不同的紫外线处理时间,各自为0分钟(沉积后),10分钟,30分钟,45分钟,1小时,2小时,及3小时。以菱形标示的线的沉积后氮化硅材料(处理1)是曝露于宽频紫外线照射源,而以方块标示的线的沉积后氮化硅材料(处理2)是曝露于172nm的单一波长紫外线源。相较于单一波长的紫外线照射源,所提供的宽频紫外线射线源可增加沉积材料的拉伸应力。
大体上,当紫外线处理时间延长时,沉积后薄膜的拉伸应力亦会由原始值700MPa增加到超过1.6GPa的值。图17B及图17C的氮化硅材料会在与图17A的实例相同的条件下沉积,除了下述的例外以外:图17B的实例的沉积使用流速60ccm的硅烷;流速600sccm的氨气;及150瓦特的电极功率电平;及图17C的实例的沉积使用流速60ccm的硅烷;流速300sccm的氨气;及150瓦特的电极功率电平。在图17B及图17C中,沉积后材料仅以宽频紫外线照射处理,及处理时间亦会由0分钟变为3小时,但以不同的时间间距,如图标般对应至8至9个区段。图17C中显示获得的最佳结果,其中沉积后氮化硅材料的拉伸应力在3小时的紫外线曝射之后,会由800MPa增加至1.8GPa,这几乎是原始拉伸应力值的二倍。第17D中显示的沉积材料是使用流速60sccm的硅烷;流速900sccm的氨气;流速10,000sccm的氮气;100瓦特的电极功率;7Torr的压力;及11mm的间距。曲线(a)以Fusion H UV光源处理,所述光源提供约200至400nm的UV波长;及曲线(B)以Excimer UV光源处理,所述光源提供约172nm的UV波长。对两者处理而言,在约50秒的紫外线曝射材料之后,由约800MPa(用于沉积后氮化硅)分别增加至1.8及1.4GPa的拉伸应力值。图17E的样本的沉积是使用流速60sccm的硅烷,流速300sccm的氨气;流速10,000sccm的氮气;150瓦特的电极功率;6Torr的压力;及11mm的间隔。沉积材料会以Fusion H光源处理。如上,在约50秒的处理之后,沉积后氮化硅材料的拉伸应力值会由约700MPa增加至1.6GPa。在沉积处理期间,可通过提供最理想范围的稀释气体内容至所述处理气体,而提高紫外线曝射的效果。这可由降低沉积材料中的氮氢键的数量而达成,典型上,氮氢键较硅氢键较难为紫外线处理所移去。因此,接着会接受紫外线曝射的沉积氮化硅材料会在稍微不同的处理条件下进行沉积,其中所述稀释气体流动会降低至由约5000至约15,000sccm的范围,及更佳地为约10,000sccm。硅烷与氨气的容积流动比与流动速率为由约1∶2至约1∶15,及更佳地为约1∶10。
电子束曝射
亦可通过曝露于所述曝射装置200中的电子束,而处理沉积后氮化硅材料。作为合适的电子束光源的曝射光源204,包含可(例如)扫描沉积材料的线电子光源,或大面积电子束曝射系统,如描述在美国专利案第5,003,178号(受让人Livesay)中者,所述文献以引用的方式并入本文中。通过广泛曝射沉积材料的实质扫描的所有面积,可实行电子束曝射。所述沉积材料较佳为接受来自电子束条件下的均匀大面积的电子束光源的电子束照射,这足以覆盖材料的所有宽度及厚度。较佳以电子束实行曝射,所述电子束可覆盖的面积由约4平方英寸至约256平方英寸。
所述电子束曝射条件取决于所施加的总剂量,施加于沉积材料的电子束能量,及电子束电流密度。在一方案中,所述电子束曝射可在由约10-5至约10-2Torr的真空,且基板温度范围由约100℃至约400℃中完成。曝露能量的范围由约0.1至约100keV,及所述电子束电流典型上由约1至约100MA。所述电子束剂量的范围由约1至约100,000μC/cm2。所选择的剂量及能量与欲处理的沉积材料的厚度成正比。大体上,所述电子束曝射由约0.5分钟至约10分钟。为了获得沉积氮化硅材料的预设应力值,亦可选择由所述电子束所提供的电子能剂量。
图18的图表显示在标示为A至F的不同处理条件下及在以电子束处理之前及之后沉积的材料的拉伸应力值。在这个范例中,用以沉积应力材料的处理条件A至F如下:
A:LPCVD BTBAS/氨气/氮气/650C/300mTorr;
B:25sccm硅烷/50sccm氨气/20000sccm N2/480mils/430C/6T/45WHF;
C:25sccm硅烷/50sccm氨气/20000sccm氮气/480mils/200C/6T/45WHF;
D:25sccm硅烷/50sccm氨气/20000sccm氮气/480mils/200C/6T/45WHF,之后以400C退火10分针,且此时18000sccm氮气/4.2Torr。
E:50sccm硅烷/50sccm氨气/20000sccm氮气/480mils/200C/6T/45WHF;及
F:50sccm硅烷/50sccm氨气/20000sccm氮气/480mils/200C/6T/45WHF,之后以400C退火10分钟,且此时18000sccm氮气/4.2Torr。
电子束处理的实行条件为4KV,电流6MA,基板温度400℃,以提供200至1500的剂量。
大体上,拉伸应力值会随着电子束处理而增加。对在具有较低预处理拉伸应力值的材料而言,会有更为显著的增加。例如,对标示为C的沉积材料而言,拉伸应力值由处理前的约200MPa增加至电子束处理后的800MPa。标示为E的沉积材料的拉伸应力由处理前的约200MPa增加至电子束处理后的高于约1200MPa。因此,电子束处理可用以增加沉积材料的拉伸应力值。
在一方案中,沉积材料及电子束表面处理的化学气相沉积可在群集工具中实行,所述群集工具有化学气相沉积室,电子束照射室,及用以将所述基板由化学气相沉积室传送至电子束照射室的机械构造。应在维持真空条件时,实行化学气相沉积室,电子束照射室中的处理及由所述化学气相沉积室至所述电子束照射室的传送。
II、压缩应力材料
亦可修改沉积程序及处理条件,以在基板上沉积压缩应力材料或在沉积期间或之后处理材料,以增加所述材料的压缩应力值。不受限解释之下,已发现可通过增加RF撞击,以令沉积材料中含有较多的硅氮键及降低硅氢键及氮氢键的密度,来达成较高的薄膜密度,而获得具有较高压缩应力值的氮化硅应力材料。较高的沉积温度及RF功率可改良沉积薄膜的压缩应力程度。此外,较高的压缩应力程度可在位于具有较高动能的等离子体种类中的沉积材料中获得。高能等离子体(如等离子体离子及中子)的撞击,可因薄膜密度的增加而产生沉积材料的压缩应力。
因拉伸应力材料的沉积,用以沉积压缩应力氮化硅的处理气体亦包含先前提及的含硅及含氮气体。除非另作解释,否则一般的沉积处理条件,如,射频类型及功率电平,气体流速及气压,基板温度等,所述处理亦与用于沉积拉伸应力材料者大约相同。
为了沉积压缩应力的氮化硅材料,注入腔室的处理气体包含第一成分及第二成分,前者包含含硅气体及后者包含含氮气体。所述含硅气体可以是(例如)硅烷,乙硅烷,三甲基甲硅烷(TMS),三(二甲氨基)硅烷(TDMAS),双(异丁氨基)硅烷(BTBAS),二氯甲硅烷(DCS),及上述气体的组合物。例如,合适的硅烷流动速率由约10至约200sccm。所述含氮气体可以是(例如)氨气,氮气,及上述气体的组合。合适的氨气流动速率是约50至约600sccm。所述处理气体亦包含稀释气体,所述稀释气体供给体积远大于所述反应气体成分。所述稀释气体亦可作为稀释剂并且至少部份作为反应物含氮气体,例如,具有约500至约20,000sccm的流动速率的氮气。可被包含的其它气体可以是惰性气体,例如,氦气或氩气,所述惰性气体的流动速率为较约100至约5,000sccm。所述处理气体亦含有额外的气体,如,含氧气体,例如,当氮氧化硅材料沉积时,所述额外气体为氧气。除非另外声明,在这些处理中,电极功率电平典型上维持在由约100至约400瓦特;电极间隔由约5mm(200mils)至约12mm(600mils);处理气体气压由约1至约4Torr;及基板温度由约300至600℃。
氩气,氦气的添加
沉积压缩应力材料的较佳气体组成包含第一成分及第二成分,前者包含含硅气体及含氮气体,及后者包含如氩气或氦气的惰性气体。在具有较高的第二成分对第一成分的容积流动比的沉积材料中,可获得较高的压缩应力值。这发生的原因是惰性气体成分可增加等离子体密度,故因此可增加离子的撞击,且降低薄膜的整体氢含量。在一个较佳组成中,所述处理气体包含(1)第一成分,所述第一成分包含如硅烷之类的含硅气体,及如氨气及氮气之类的含氮气体,及(2)第二成分,所述第二成分包含氩气或氦气。所述第二成分对所述第一成分的比至少为约1∶1,且更佳为少于约1∶4。大体上,用于处理气体的压力由约6至10Torr。所述基板温度应维持在约400至550℃。电极间隔应维持为由约7.6mm至约15.2mm(300至600mil)。
图19A至图19D显示氩气对氮气的流速比对沉积材料的压缩应力值,沉积速率,厚度均匀度及折射系数等个别的影响。在所述范例中,用以沉积所述应力材料的处理条件表列在表格III,条件4中。大体上,氩气对氮气之比值的增加,会导致较高的压缩应力值(显示在较高的绝对应力值中),降低沉积材料的沉积速率及厚度,且增加折射系数。压缩应力及厚度均匀程度的降低,会由比值为约1的氩气对氮气比开始下降。随着氩气对氮气之比由1∶1升到3∶1,所述压缩应力值仅会稍微由约-2.36增加至-2.38GPa。因此,可以确定,可由流动比至少约1∶1,且更佳为由约1∶1至3∶1的氩气对氮气比的沉积材料,获得最理想的压缩应力值。典型上,氩气的流动速率由约1000至约10,000sccm;及氮气的流动速率由约1,000至约20,000sccm。氦气亦可以相同的容积流动比来取代氩气,而得到几乎相同的结果。
以低RF电压压缩:硅烷,氮气,氨气,及氩气
在所述实施例中,所用的处理气体包含(1)第一成分,所述第一成分包含如硅烷的含硅气体,(2)第二成分,所述第二成分包含氮气及氨气,及(3)第三成分,所述第三成分包含氩气。当使用硅烷及氨气时,发现高容积流动比的硅烷对氨气可提供沉积材料较高的压缩应力值,如下文的表格III所示般。发现高容积流速比的硅烷对氨气亦可提供较好的等离子体稳定性,这可提高沉积均匀度且亦有助在达到较高的应力程度。大体上,硅烷对氨气的流动比是至少约0.2,及更佳为由约0.25至3。硅烷的流速比典型上由约10至约100sccm;及氨气的流速由约20至约300sccm。氮气的流速是1000及氩气为3000sccm。
通过施加低RF电压于电极以产生处理气体的等离子体,可再提升沉积材料的压缩应力,所述低RF电压的频率低于约1MHz,及更佳为由约100KHz至1MHz,或甚至约300KHz。所述低RF电压可在沉积材料中产生额外的压缩应力,以增加离子对基板的撞击且达到高密度的薄膜。在所述实施例中,合适的低射频电压的功率电平由约50至约300瓦特。
低RF与高RF的组合
在沉积期间或之后,通过选择施加于腔室电极的高频电压的频率范围及功率电平,亦可增加撞击具有高能等离子体类型的沉积材料。低射频功率与高射频功率的组合的使用,必然可获得较高的压缩应力值。在一范例中,获得高压缩应力值的最理想低射频低于约1MHz,且更佳为由约100KHz至1MHz,及甚至为约300KHz。用在上述的低射频率电平的组合的最理想高射频电平,为由约10MHz至约27MHz,且更佳为约13.5MHz。
低与高射频功率电平两者的组合的施加,发现为可产生最高的压缩应力值。在低与高RF电压两者的较高功率电平,可获得更提升的压缩应力值。对低RF电压而言,所述低RF电压的功率电平应至少约50,且更佳为由约100至约400瓦特。用于高RF电压的合适功率电平是至少约100,且更佳为由约200至约500瓦特。
小间距及低气压
通过在所述第一电极105与第二电极109之间设定够低而足以大幅增加撞击基板32的等离子体的动能的间隔距离ds,即可在所述基板32上形成压缩应力材料。例如,当所述第一电极105是所述基板支架104及所述第二电极109是所述气体散布器108时,可通过调整腔室中所述基板支架104的高度,而设定所述二电极105,109间之间隔。所述电极之间距ds较佳为低于约25mm,且更佳为至少约11mm。除了电极间距,腔室中的处理气体的气压亦可设定在较高的程度,以进一步增加所述室80中的等离子体离子撞击能。较低的间距及较高的气压应可增加所述室80中的等离子体类型的离子撞击能,用以沉积具有压缩应力的材料。合适的处理气体的气压是至少约5,且更佳为由约1.5至约3.5Torr。
表格III绘示用以沉积压缩应力材料的处理参数组。处理气体组成,流动速率及其它变量与先前范例是相同的。适合用于氮化硅材料沉积处理的种种实施例的多个参数,包含合适温度,硅烷,氨气,氮气及氩气的流动速率,高射频功率电平,低射频功率电平,电极间隔及处理气体气压。结果的沉积速率,均匀度,折射系数,应力值及等离子体稳定度亦表列如下。
表格III
用于高压缩应力程度的处理参数组
Figure GSB00000927741800341
III、使用应力材料制造MOSFET
在一示范应用中,制造MOSFET结构392时,会在基板32上形成拉伸或压缩应力的氮化硅材料,这绘示在图20的简化剖面图。沉积及处理后的氮化硅材料20的较高内部应力,诱发晶体管24通道区28的应变。诱发的应变会增进通道区28的载流子迁移率,这例如通过增加所述晶体管24的饱和电流来改善了晶体管性能。所述氮化硅材料20在MOSFET24内亦具有其它用途,例如,可作为蚀刻终点材料。高应力的氮化硅材料20在其它结构中也有用处,例如在包含(但不限于)双极型结型晶体管,电容器,传感器,及致动器的其它晶体管中。所述基板可以是硅晶圆或可由其它材料制成,例如,锗,硅锗,砷化镓及上述物的组成。所述基板亦可以是用于制造显示器的电介质,例如,玻璃。
图20中绘示的晶体管24是具有源极区36及漏极区40的负电通道,或n通道MOSFET(NMOS),所述源极区36及漏极区40是通过将VA族元素掺杂至基板32以形成n型半导体而形成的。在NMOS晶体管中,典型上会以第IIIA族元素掺杂位于源极区36及漏极区40外侧的基板32以形成p型半导体。对所述NMOS通道区而言,覆盖的应力氮化硅材料会制为具有拉伸应力。
在另一方案中,MOSFET晶体管24包含具有源极及漏极区的正通道或p通道MOSFET(PMOS)(未示),所述源极区及漏极区是通过将IIIA族元素掺杂至基板以形成p型半导体来形成的。在PMOS晶体管中,所述晶体管24包含基板32,所述基板32包含n型半导体,或所述晶体管24可具有包含n型半导体的井区域(未示),所述n型半导体形成在包含p型半导体的基板32上。所述PMOS通道区被压缩应力的氮化硅材料覆盖。
在所示方案中,所述晶体管24包含沟槽44,以提供基板32上的多个晶体管24之间或晶体管24群组间的隔离,所述技术称为浅沟槽隔离。典型上,所述沟槽44通过蚀刻处理先于所述源极区36及漏极区40形成。通过(例如)在氧化物/氮氧化硅气氛中的快速热氧化,而在沟槽44中可形成沟槽侧壁线状材料(未示),这亦可以是沟槽44上(及其它处)的圆形角状物。在一方案中,所述沟槽44亦可填充具有拉伸应力的材料46,这亦可用以提供拉伸应力予通道区28。包含高深宽比处理(High Aspect RatioProcess,HARP)的使用的所述沟槽材料46的沉积,亦可包含使用臭氧/四乙氧基硅烷(TEOS)式的次大气压化学气相沉积(SAVCD)处理。过多的沟槽材料46会通过(例如)化学机械研磨而除去。
所述晶体管在源极区36与漏极区40之间的所述通道区28顶端上,包含栅极氧化材料48及栅电极52。在所示方案中,所述晶体管24在源极区36及漏极区40以及栅电极52的顶端上,包含硅化物材料56。相较于下面的源极区36及漏极区40与栅电极52,所述硅化物材料56具有高的传导性,且可促进电信号经由金属接点54而往返所述晶体管24传送。取决于所用的材料及形成程序,所述硅化物材料56亦包含拉伸应力,且可在通道区28中引起拉伸应变。示出的晶体管亦包含多个间隔物60及氧化物垫材料64,这可设置在所述栅电极52相反侧的侧壁68上,以维持硅化物材料56在金属硅化处理期间的分离,以形成硅化物材料56。在金属硅化期间,连续的金属材料(未示)会沉积在含氧化物的源极区36及漏极区40与栅电极52上方,亦会沉积在含氮化物的间隔物60上方。所述金属会与位于源极区36及漏极区40与栅电极52中垫在底下的硅化物反应,以形成金属硅化物合金的硅化物材料,但金属与间隔物60中的氮化物材料则较不易产生反应。因此,所述间隔物60可令覆盖在上方而未反应的金属接受蚀刻而移去,且不影响硅化物材料56中的金属合金。
通道区28的长度是短于栅极氧化物材料48的长度。通道区28在源极区36与所述漏极区40之间所测得的长度不大于约90nm,例如,由约90nm至约10nm。随着通道区28的长度变短,植入物72(亦称为为环形布植)可以反掺杂至通道区28,以防止电荷载流子不受控制地由所述源极区36跳至漏极区40,及反之亦然。
在图20中显示的方案中,所述氮化硅材料20会形成在所述硅化物材料56上方。所述氮化硅材料20典型上会用作接触蚀刻终止材料,且可提供应变予所述通道区28。所述氮化硅材料20能够沉积,而具有范围介在压缩至拉伸应力的应力值。氮化硅材料20的应力的选择,可选择设置在晶体管24的通道区28的应变类型。
在氮化硅材料20的形成之后,介电材料76(亦称为前金属介电材料)会沉积在所述氮化硅材料20上。除了其它材料以外,所述介电材料76可以是(例如)硼磷硅玻璃,磷硅玻璃,硼硅玻璃,及磷硅玻璃等。所述介电材料76的形成可使用包含与SACVD结合的O3/TEOS的HARP。所述介电材料76亦包含拉伸应力,所述拉伸应力可引起通道区28的拉伸应变。
虽然已描述并示出本发明的示例性实施例,但本领域普通技术人员亦可设计其它并入本发明且亦在本发明的范围内的实施例。例如,如红外线照射或选定波长的可见光的其它照射处理,亦可用以处理所述沉积薄膜。亦可使用不同照射曝露的组合。再者,“以下”、“以上”、“底部”、“顶部”、“向上”、“向下”、“第一”及“第二”及其它相关或位置指示字眼皆与附图中的示例性实施例相关,且可互相交换。因此,所附权利要求书不应局限在本文为了解说本发明而描述的较佳方案,材料或空间安排的叙述。

Claims (42)

1.一种在基板上形成应力材料的方法,包含:
(a)在所述基板上沉积材料,沉积材料是通过:
(i)将所述基板置放在第一处理区;
(ii)将处理气体注入所述处理区,所述处理气体包含含硅气体及含氮气体;
(iii)产生所述处理气体的等离子体;及
(iv)由所述处理区排出所述处理气体;及
(b)将所述沉积材料曝露于宽频的紫外线射线,以增加所述沉积材料的应力值。
2.如权利要求1所述的方法,其特征在于,上述的(b)包含:
选择所述宽频的紫外线射线的波长及强度,以令沉积材料获得预设范围的拉伸应力值。
3.如权利要求1所述的方法,其特征在于,所述处理气体包含硅烷,氨气,及氮气,用以沉积包含氮化硅的应力材料。
4.一种在基板上形成应力材料的方法,包含:
(a)在所述基板上沉积材料,沉积材料是通过:
(i)将所述基板置放在处理区;
(ii)将处理气体注入所述处理区,所述处理气体包含含硅气体及含氮气体;
(iii)产生所述处理气体的等离子体;及
(iv)由所述处理区排出所述处理气体;及
(b)将所述沉积材料曝露于电子束中,因而可选择所述电子束的剂量能量或所述电子束的电流的至少其中之一以增加所述沉积材料的应力值。
5.如权利要求4所述的方法,其特征在于,上述的(b)包含将所述沉积材料曝露于提供以下至少其中之一的电子束中:
(1)曝露能量由0.1至100 keV;
(2)电子束电流由1至100 mA;及
(3)电子束剂量由1至100,000μC/cm2
6.如权利要求4所述的方法,其特征在于,上述的(b)包含:在10-5Torr至10-2 Torr的真空中使所述沉积材料曝露于电子束0.5至10分钟,同时维持所述基板的温度在100℃至400℃。
7.如权利要求4所述的方法,其特征在于,所述处理气体包含硅烷,氨气,及氮气,用以沉积包含氮化硅的应力材料。
8.一种在基板上沉积应力材料的方法,包含:
(a)将所述基板置放在处理区中;
(b)在第一处理过程中,维持处理气体的等离子体流入所述处理区,所述处理气体包含第一成分及第二成分,第一成分包含含硅气体及非氮气的含氮气体,第二成分包含氮气;
(c)在第二处理过程中,停止所述处理气体的第一成分流动,而仍维持包括氮气的第二成分的等离子体;及
(d)将所述处理气体排出所述处理区。
9.如权利要求8所述的方法,其特征在于,上述的(b)及(c)重复数个处理过程。
10.如权利要求8所述的方法,其特征在于,所述含硅气体包含硅烷,及所述含氮气体包括氨气。
11.一种在处理区中基板上沉积应力材料的方法,所述处理区以处理室的多个电极为界,所述方法包含:
(a)将所述基板置放在所述处理区中;
(b)将处理气体注入所述处理区,所述处理气体包含含硅气体及含氮气体;
(c)在界定所述处理区的数个电极上施加电压脉冲,以产生所述处理气体的脉冲等离子体,每一个电压脉冲具有工作周期,且所述电压脉冲是以由100至500瓦特的功率电平将高射频电压传送至所述电极,所述电压脉冲的工作周期是依所述沉积应力材料的应力值而选择;及
(d)将所述处理气体排出所述处理区。
12.如权利要求11所述的方法,其特征在于,上述的(b)包含以下的至少其中之一:
(1)所述电压脉冲的工作周期由10%至50%;
(2)所述电压脉冲是矩形脉冲。
13.如权利要求11所述的方法,其特征在于,所述含硅气体包含硅烷,而所述含氮气体包括氨气,并用以沉积包含氮化硅的应力材料。
14.一种在基板上沉积应力材料的方法,所述方法包含:
(a)将所述基板置放在处理区中;
(b)将处理气体注入所述处理区中,所述处理气体包含第一成分及第二成分,第一成分包含硅烷及氨气,第二成分包含氮气,所述第一成分对所述第二成分的容积流速比是至少1∶10;
(c)产生所述处理气体的等离子体;及
(d)将所述处理气体排出所述处理区。
15.如权利要求1 4所述的方法,其特征在于,所述基板包含硅化镍材料,及所述方法包含:维持所述基板的温度在由450℃至500℃。
16.如权利要求14所述的方法,其特征在于,所述方法包含:依以下至少一条件提供氮气:
(1)单位腔室容积的流速由0.8至1;及
(2)容积流速由20,000至25,000 sccm。
17.如权利要求14所述的方法,其特征在于,所述处理气体的主要由硅烷,氨气及氮气所组成,用以沉积包含氮化硅的拉伸应力材料。
18.一种在基板上沉积应力材料的方法,所述方法至少包含:
(a)将所述基板置放在处理区中;
(b)将处理气体注入所述处理区中,所述处理气体包含硅烷及氨气,硅烷及氨气的容积流速比为1∶1至1∶3,所述容积流速比够低而足以沉积拉伸应力值为至少500 MPa的拉伸应力材料;
(c)产生所述处理气体的等离子体;及
(d)将所述处理气体排出所述处理区。
19.如权利要求18所述的方法,其特征在于,硅烷对氨气的容积流速比是1∶2。
20.一种在基板上沉积应力材料的方法,所述方法包含:
(a)将所述基板置放在处理区中;及维持所述基板温度为350℃至500℃;
(b)将处理气体注入所述处理区中,所述处理气体包含含硅气体及含氮气体;
(c)在所述处理区中形成所述处理气体的等离子体;及
(d)将所述处理气体排出所述处理区。
21.如权利要求20所述的方法,其特征在于,包含:将其上具有硅化镍层的基板设置在所述处理区中,且其中所述处理气体包括硅烷,氨气及氮气,用以在所述硅化镍层上沉积包含氮化硅的拉伸应力材料。
22.一种在处理区中的基板上沉积应力材料的方法,所述处理区以处理室的多个电极为界,所述方法包含:
(a)将所述基板置放在所述处理区中;
(b)将处理气体注入所述处理区中,所述处理气体包含含硅气体及含氮气体;
(c)施加高射频电压于所述电极以产生所述处理气体的等离子体,所述电极围绕所述处理区,所述高射频电压的频率范围为3 MHz至60 MHz,及所述高射频电压的功率电平低于200瓦特;及
(d)将所述处理气体排出所述处理区。
23.如权利要求22所述的方法,其特征在于,所述高射频电压是以由10瓦特至100瓦特的功率电平提供。
24.一种在处理区中的基板上沉积应力材料的方法,所述处理区以多个电极为界且包含基板支架及室壁,所述方法包含:
(a)将基板置放在所述基板支架上;
(b)将所述基板支架维持在相对所述室壁的电浮动电位;
(c)将处理气体注入所述处理区,所述处理气体包含含硅气体及含氮气体;及
(d)施加频率为350 kHz至20 MHz的射频电压于所述多个电极以产生所述处理气体的等离子体;及
(e)将所述处理气体排出所述处理区。
25.一种在处理区中的基板上沉积应力材料的方法,所述处理区以多个电极为界且包含基板支架及气体散布器,所述方法包含:
(a)将所述基板置放在所述基板支架上;
(b)将处理气体经由所述气体散布器注入所述处理区,所述处理气体包含含硅气体及含氮气体;
(c)施加25伏特至100伏特的负极DC偏压电压于所述气体散布器;
(d)产生所述处理气体的等离子体;及
(e)将所述处理气体排出所述处理区。
26.如权利要求25所述的方法,其特征在于,所述基板支架设置为距离所述气体散布器5至15mm的分离距离ds
27.一种在处理区中的基板上沉积应力材料的方法,所述处理区以多个电极为界且包含基板支架及气体散布器,所述方法包含:
(a)将所述基板置放在所述基板支架上;
(b)施加至少25伏特的正极DC偏压电压于所述基板支架;
(c)将处理气体经由所述气体散布器注入所述处理区,所述处理气体包含含硅气体及含氮气体;
(d)产生所述处理气体的等离子体;及
(e)将所述处理气体排出所述处理区。
28.如权利要求27所述的方法,其特征在于,所述基板支架设置为距离所述气体散布器5至15mm的分离距离ds
29.一种在基板上沉积应力材料的方法,包含:
(a)在沉积处理过程中,在所述基板上沉积应力材料,沉积应力材料是通过:
(i)将所述基板置放在处理区中,且将所述基板加热至低于420℃的温度;
(ii)将处理气体注入所述处理区,所述处理气体包含含硅气体及含氮气体;
(iii)产生所述处理气体的等离子体;及
(iv)由所述处理区排出所述处理气体;及
(b)在退火处理过程中,加热所述基板上的沉积应力材料到至少450℃的温度,同时令含有氮气的气体维持在所述基板周围。
30.一种在基板上沉积应力材料的方法,包含:
(a)将所述基板置放在处理区中;
(b)将处理气体注入所述处理区,所述处理气体包含:(i)以第一流动速率注入的第一成分,第一成分包含硅气体及含氮气体,及(ii)以第二流动速率注入的第二成分,第二成分包含氦气或氩气,及其中所述第二成分对所述第一成分的容积流速比是至少1∶1及低于1∶4;
(c)产生所述处理气体的等离子体;及
(d)由所述腔室排出所述处理气体。
31.如权利要求30所述的方法,其特征在于,所述含氮气体包含氮气,且所述第二成分主要由氦气所组成,及容积流动比由1∶1至1∶3。
32.如权利要求30所述的方法,其特征在于,所述含氮气体包含氮气,且所述第二成分主要由氩气所组成,及容积流动比由1∶1至1∶3。
33.一种在处理区中的基板上沉积应力材料的方法,所述处理区以处理室中的多个电极为界,所述方法包含:
(a)将所述基板置放在处理区中;
(b)将处理气体注入所述处理区,所述处理气体包含:(i)包含含硅气体的第一成分,(ii)包含氮气及氨气的第二成分,及(iii)包含氩气的第三成分;
(c)施加低RF电压至所述电极以产生所述处理气体的等离子体,所述低RF电压的频率低于1 MHz;及
(d)由所述腔室排出所述处理气体。
34.如权利要求33所述的方法,其特征在于,所述低RF电压包含由100 KHz至1 MHz的频率。
35.如权利要求33所述的方法,其特征在于,所述低RF电压包含300KHz的频率。
36.如权利要求33所述的方法,其特征在于,所述含硅气体包含硅烷,及其中硅烷对氨气之比是至少0.2。
37.如权利要求36所述的方法,其特征在于,所述硅烷对氨气之比为0.25至3。
38.一种在处理区中的基板上沉积应力材料的方法,所述处理区以一室中的多个电极为界,所述方法包含:
(a)将所述基板置放在所述处理区中;
(b)将处理气体注入所述处理区,所述处理气体包含含硅气体及含氮气体;
(c)通过施加以下条件于所述电极而产生所述处理气体的等离子体:
(i)频率低于1 MHz及功率电平至少50瓦特的低射频电压,及
(ii)频率至少10 MHz及功率电平至少100瓦特的高射频电压;及
(d)由所述腔室排出所述处理气体。
39.如权利要求38所述的方法,包含以下至少其中之一:
(1)所述低射频电压的频率是至少100 KHz;
(2)所述低射频电压以低于400瓦特的功率电平提供;
(3)所述高射频电压的频率低于27 MHz;及
(4)所述高射频电压以低于500瓦特的功率电平提供。
40.一种在处理区中的基板上沉积应力材料的方法,所述处理区以一处理室中的多个电极为界,所述方法包含:
(a)将所述基板置放在所述处理区中;
(b)将处理气体注入所述处理区,所述处理气体包含含硅气体及含氮气体;
(c)产生所述处理气体的等离子体,通过
(i)将所述电极间的间距ds设定为低于25mm,及
(ii)施加射频电压至所述电极;及
(d)由所述腔室排出所述处理气体,以将气压固定在至少5 Torr,用以在所述基板上沉积压缩应力层。
41.如权利要求40所述的方法,其特征在于,所述电极间的间距ds是至少11mm。
42.如权利要求40所述的方法,其特征在于,所述处理气体的压力由1.5至3.5 Torr。
CN2005800389080A 2004-11-16 2005-11-10 用于半导体的拉伸及压缩应力材料 Expired - Fee Related CN101088150B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US62860004P 2004-11-16 2004-11-16
US60/628,600 2004-11-16
US11/055,936 2005-02-11
US11/055,936 US20060105106A1 (en) 2004-11-16 2005-02-11 Tensile and compressive stressed materials for semiconductors
PCT/US2005/041079 WO2006055459A2 (en) 2004-11-16 2005-11-10 Tensile and compressive stressed materials for semiconductors

Publications (2)

Publication Number Publication Date
CN101088150A CN101088150A (zh) 2007-12-12
CN101088150B true CN101088150B (zh) 2013-02-13

Family

ID=36386662

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2005800389080A Expired - Fee Related CN101088150B (zh) 2004-11-16 2005-11-10 用于半导体的拉伸及压缩应力材料

Country Status (7)

Country Link
US (1) US20060105106A1 (zh)
EP (1) EP1815505A2 (zh)
JP (1) JP4903154B2 (zh)
KR (6) KR101244832B1 (zh)
CN (1) CN101088150B (zh)
TW (1) TWI360180B (zh)
WO (1) WO2006055459A2 (zh)

Families Citing this family (137)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
US9659769B1 (en) 2004-10-22 2017-05-23 Novellus Systems, Inc. Tensile dielectric films using UV curing
US7790633B1 (en) 2004-10-26 2010-09-07 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7323391B2 (en) * 2005-01-15 2008-01-29 Applied Materials, Inc. Substrate having silicon germanium material and stressed silicon nitride layer
US7510982B1 (en) 2005-01-31 2009-03-31 Novellus Systems, Inc. Creation of porosity in low-k films by photo-disassociation of imbedded nanoparticles
TWI263265B (en) * 2005-02-13 2006-10-01 United Microelectronics Corp Method for fabricating ultra-high tensile-stressed film and strained-silicon transistors thereof
US7585704B2 (en) * 2005-04-01 2009-09-08 International Business Machines Corporation Method of producing highly strained PECVD silicon nitride thin films at low temperature
US8282768B1 (en) 2005-04-26 2012-10-09 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US8454750B1 (en) 2005-04-26 2013-06-04 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US8889233B1 (en) 2005-04-26 2014-11-18 Novellus Systems, Inc. Method for reducing stress in porous dielectric films
US8980769B1 (en) 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US20060263512A1 (en) * 2005-05-19 2006-11-23 Glocker David A Multi-layer coating system and method
US7566655B2 (en) * 2005-05-26 2009-07-28 Applied Materials, Inc. Integration process for fabricating stressed transistor structure
US8138104B2 (en) * 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
US7732342B2 (en) 2005-05-26 2010-06-08 Applied Materials, Inc. Method to increase the compressive stress of PECVD silicon nitride films
US8129290B2 (en) * 2005-05-26 2012-03-06 Applied Materials, Inc. Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure
US7754008B2 (en) * 2005-07-19 2010-07-13 The Regents Of The University Of California Method of forming dislocation-free strained thin films
US7465680B2 (en) * 2005-09-07 2008-12-16 Applied Materials, Inc. Post deposition plasma treatment to increase tensile stress of HDP-CVD SIO2
US20070105368A1 (en) * 2005-11-07 2007-05-10 Texas Instruments Inc. Method of fabricating a microelectronic device using electron beam treatment to induce stress
US8398816B1 (en) 2006-03-28 2013-03-19 Novellus Systems, Inc. Method and apparatuses for reducing porogen accumulation from a UV-cure chamber
US7678662B2 (en) * 2005-12-13 2010-03-16 Applied Materials, Inc. Memory cell having stressed layers
US8501632B2 (en) * 2005-12-20 2013-08-06 Infineon Technologies Ag Methods of fabricating isolation regions of semiconductor devices and structures thereof
US7485515B2 (en) 2006-04-17 2009-02-03 United Microelectronics Corp. Method of manufacturing metal oxide semiconductor
DE102006019881B4 (de) * 2006-04-28 2017-04-06 Advanced Micro Devices, Inc. Technik zur Herstellung einer Siliziumnitridschicht mit hoher intrinsischer kompressiver Verspannung
KR100703986B1 (ko) * 2006-05-22 2007-04-09 삼성전자주식회사 동작 특성과 플리커 노이즈 특성이 향상된 아날로그트랜지스터를 구비하는 반도체 소자 및 그 제조 방법
US8138103B2 (en) 2006-05-31 2012-03-20 Tokyo Electron Limited Plasma CVD method, method for forming silicon nitride film and method for manufacturing semiconductor device
US20070296027A1 (en) * 2006-06-21 2007-12-27 International Business Machines Corporation Cmos devices comprising a continuous stressor layer with regions of opposite stresses, and methods of fabricating the same
US20080026517A1 (en) * 2006-07-28 2008-01-31 Grudowski Paul A Method for forming a stressor layer
JP2008047620A (ja) * 2006-08-11 2008-02-28 Mitsubishi Heavy Ind Ltd プラズマ処理方法、及び、プラズマ処理装置
US20080044967A1 (en) * 2006-08-19 2008-02-21 Chartered Semiconductor Manufacturing Ltd. Integrated circuit system having strained transistor
US20080076227A1 (en) * 2006-09-21 2008-03-27 Texas Instruments Incorporated Method for forming a pre-metal dielectric layer using an energy beam treatment
US7465635B2 (en) * 2006-09-21 2008-12-16 Texas Instruments Incorporated Method for manufacturing a gate sidewall spacer using an energy beam treatment
US20080096331A1 (en) * 2006-10-04 2008-04-24 Neng-Kuo Chen Method for fabricating high compressive stress film and strained-silicon transistors
US8465991B2 (en) 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
US7851232B2 (en) * 2006-10-30 2010-12-14 Novellus Systems, Inc. UV treatment for carbon-containing low-k dielectric repair in semiconductor processing
US10037905B2 (en) 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US20100267231A1 (en) * 2006-10-30 2010-10-21 Van Schravendijk Bart Apparatus for uv damage repair of low k films prior to copper barrier deposition
US20080124855A1 (en) * 2006-11-05 2008-05-29 Johnny Widodo Modulation of Stress in ESL SiN Film through UV Curing to Enhance both PMOS and NMOS Transistor Performance
US20080113108A1 (en) * 2006-11-09 2008-05-15 Stowell Michael W System and method for control of electromagnetic radiation in pecvd discharge processes
US7576003B2 (en) * 2006-11-29 2009-08-18 International Business Machines Corporation Dual liner capping layer interconnect structure and method
US7906174B1 (en) 2006-12-07 2011-03-15 Novellus Systems, Inc. PECVD methods for producing ultra low-k dielectric films using UV treatment
US7790635B2 (en) * 2006-12-14 2010-09-07 Applied Materials, Inc. Method to increase the compressive stress of PECVD dielectric films
US7700499B2 (en) * 2007-01-19 2010-04-20 Freescale Semiconductor, Inc. Multilayer silicon nitride deposition for a semiconductor device
US20080173908A1 (en) * 2007-01-19 2008-07-24 Freescale Semiconductor, Inc. Multilayer silicon nitride deposition for a semiconductor device
JP4861204B2 (ja) * 2007-01-22 2012-01-25 株式会社東芝 半導体装置およびその製造方法
US20080182403A1 (en) * 2007-01-26 2008-07-31 Atif Noori Uv curing of pecvd-deposited sacrificial polymer films for air-gap ild
CN101595559B (zh) * 2007-01-29 2012-01-04 应用材料股份有限公司 形成镶嵌结构的方法
JP2008205280A (ja) * 2007-02-21 2008-09-04 Ulvac Japan Ltd 成膜装置、薄膜形成方法、トランジスタ製造方法
JP5186776B2 (ja) 2007-02-22 2013-04-24 富士通株式会社 半導体装置及びその製造方法
US7993700B2 (en) * 2007-03-01 2011-08-09 Applied Materials, Inc. Silicon nitride passivation for a solar cell
JP4850762B2 (ja) * 2007-03-19 2012-01-11 株式会社アルバック 成膜方法
JP2008235636A (ja) * 2007-03-22 2008-10-02 Elpida Memory Inc 半導体装置の製造方法及び半導体装置
US20080237658A1 (en) * 2007-03-26 2008-10-02 United Microelectronics Corp. Semiconductor device and method of fabricating the same
JP5310543B2 (ja) * 2007-03-27 2013-10-09 富士通セミコンダクター株式会社 半導体装置の製造方法
JP5014857B2 (ja) * 2007-03-28 2012-08-29 株式会社アルバック 成膜装置
US7651961B2 (en) * 2007-03-30 2010-01-26 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US8178446B2 (en) * 2007-03-30 2012-05-15 Tokyo Electron Limited Strained metal nitride films and method of forming
US8242028B1 (en) 2007-04-03 2012-08-14 Novellus Systems, Inc. UV treatment of etch stop and hard mask films for selectivity and hermeticity enhancement
US7678698B2 (en) * 2007-05-04 2010-03-16 Freescale Semiconductor, Inc. Method of forming a semiconductor device with multiple tensile stressor layers
US20080293194A1 (en) * 2007-05-24 2008-11-27 Neng-Kuo Chen Method of making a P-type metal-oxide semiconductor transistor and method of making a complementary metal-oxide semiconductor transistor
US8211510B1 (en) 2007-08-31 2012-07-03 Novellus Systems, Inc. Cascaded cure approach to fabricate highly tensile silicon nitride films
US9456925B2 (en) * 2007-09-06 2016-10-04 Alcon Lensx, Inc. Photodisruptive laser treatment of the crystalline lens
US7879683B2 (en) * 2007-10-09 2011-02-01 Applied Materials, Inc. Methods and apparatus of creating airgap in dielectric layers for the reduction of RC delay
US20090093100A1 (en) * 2007-10-09 2009-04-09 Li-Qun Xia Method for forming an air gap in multilevel interconnect structure
US20090120584A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Counter-balanced substrate support
US8426778B1 (en) 2007-12-10 2013-04-23 Novellus Systems, Inc. Tunable-illumination reflector optics for UV cure system
JP5309619B2 (ja) 2008-03-07 2013-10-09 ソニー株式会社 半導体装置およびその製造方法
CN101593669B (zh) * 2008-05-30 2011-07-06 中芯国际集成电路制造(北京)有限公司 等离子体处理腔室中原位紫外线处理方法及应力氮化硅膜的形成方法
US20090305515A1 (en) * 2008-06-06 2009-12-10 Dustin Ho Method and apparatus for uv curing with water vapor
US7906817B1 (en) 2008-06-06 2011-03-15 Novellus Systems, Inc. High compressive stress carbon liners for MOS devices
US9050623B1 (en) 2008-09-12 2015-06-09 Novellus Systems, Inc. Progressive UV cure
US8252653B2 (en) * 2008-10-21 2012-08-28 Applied Materials, Inc. Method of forming a non-volatile memory having a silicon nitride charge trap layer
US7964858B2 (en) * 2008-10-21 2011-06-21 Applied Materials, Inc. Ultraviolet reflector with coolant gas holes and method
US20100096569A1 (en) * 2008-10-21 2010-04-22 Applied Materials, Inc. Ultraviolet-transmitting microwave reflector comprising a micromesh screen
KR101315950B1 (ko) * 2009-06-24 2013-10-08 엘지전자 주식회사 플라즈마 증착 장치 및 이 장치를 이용한 박막 제조 방법
KR20110009762A (ko) * 2009-07-23 2011-01-31 삼성전자주식회사 트랜지스터 및 그 제조 방법
US8528224B2 (en) * 2009-11-12 2013-09-10 Novellus Systems, Inc. Systems and methods for at least partially converting films to silicon oxide and/or improving film quality using ultraviolet curing in steam and densification of films using UV curing in ammonia
US20110151677A1 (en) 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
US20110159213A1 (en) * 2009-12-30 2011-06-30 Applied Materials, Inc. Chemical vapor deposition improvements through radical-component modification
US20110210401A1 (en) * 2010-02-26 2011-09-01 Freescale Semiconductor Inc. Multilayer silicon nitride deposition for a semiconductor device
US8563095B2 (en) * 2010-03-15 2013-10-22 Applied Materials, Inc. Silicon nitride passivation layer for covering high aspect ratio features
JP5750230B2 (ja) * 2010-03-29 2015-07-15 大陽日酸株式会社 炭窒化珪素膜及び炭窒化珪素膜の成膜方法
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8455883B2 (en) * 2011-05-19 2013-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Stressed semiconductor device and method of manufacturing
CN102637633B (zh) * 2011-06-17 2015-08-12 京东方科技集团股份有限公司 一种阵列基板制造方法及系统
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US20130217240A1 (en) * 2011-09-09 2013-08-22 Applied Materials, Inc. Flowable silicon-carbon-nitrogen layers for semiconductor processing
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
CN102446840A (zh) * 2011-11-02 2012-05-09 上海华力微电子有限公司 一种增加双大马士革结构介质阻挡层薄膜击穿电压的方法
JP6130672B2 (ja) * 2012-01-18 2017-05-17 旭化成エレクトロニクス株式会社 ホール素子及びその製造方法、並びに、磁気センサー
US8586487B2 (en) * 2012-01-18 2013-11-19 Applied Materials, Inc. Low temperature plasma enhanced chemical vapor deposition of conformal silicon carbon nitride and silicon nitride films
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
CN103839800A (zh) * 2012-11-20 2014-06-04 中国科学院微电子研究所 氮化硅制造方法
JP2013077828A (ja) * 2012-12-05 2013-04-25 Renesas Electronics Corp 半導体装置の製造方法
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
JP6146160B2 (ja) * 2013-06-26 2017-06-14 東京エレクトロン株式会社 成膜方法、記憶媒体及び成膜装置
CN104253049B (zh) * 2013-06-28 2018-11-06 中国科学院微电子研究所 半导体器件制造方法
EP3076423A4 (en) * 2013-11-28 2017-11-01 SPP Technologies Co., Ltd. Silicon nitride film, production method therefor, and production device therefor
TWI654336B (zh) * 2013-12-30 2019-03-21 美商蘭姆研究公司 具有脈衝式電漿曝露之電漿輔助式原子層沉積
JP6110420B2 (ja) * 2014-02-28 2017-04-05 ウォニク アイピーエス カンパニー リミテッド 窒化膜の製造方法及び窒化膜の圧縮応力の制御方法
TWI576918B (zh) * 2014-02-28 2017-04-01 圓益Ips股份有限公司 製造氮化物薄膜的方法及控制該氮化物薄膜的壓應力的方法
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
JP6092820B2 (ja) * 2014-07-18 2017-03-08 三井造船株式会社 成膜装置及び成膜方法
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
CN105702575A (zh) * 2014-11-25 2016-06-22 中国科学院微电子研究所 半导体器件制造方法
KR102125508B1 (ko) * 2015-01-21 2020-06-23 주식회사 원익아이피에스 질화막의 제조방법
CN104681413A (zh) * 2015-02-25 2015-06-03 苏州工业园区纳米产业技术研究院有限公司 低应力多晶硅薄膜的制作方法
US9748093B2 (en) 2015-03-18 2017-08-29 Applied Materials, Inc. Pulsed nitride encapsulation
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9646818B2 (en) 2015-03-23 2017-05-09 Applied Materials, Inc. Method of forming planar carbon layer by applying plasma power to a combination of hydrocarbon precursor and hydrogen-containing precursor
CN105140422A (zh) * 2015-07-29 2015-12-09 沈阳拓荆科技有限公司 一种低温沉积氮化硅薄膜的方法
KR102125074B1 (ko) * 2015-08-31 2020-06-19 주식회사 원익아이피에스 질화막의 제조방법
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
SG11201805655VA (en) 2016-02-16 2018-07-30 Ev Group E Thallner Gmbh Method and device for bonding substrates
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
JP6564802B2 (ja) * 2017-03-22 2019-08-21 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
US10358717B2 (en) * 2017-04-21 2019-07-23 Lam Research Corporation Method for depositing high deposition rate, thick tetraethyl orthosilicate film with low compressive stress, high film stability and low shrinkage
CN107611144B (zh) * 2017-09-19 2019-10-11 武汉华星光电技术有限公司 一种层间绝缘层的制备方法、层间绝缘层及液晶显示面板
CN111108581A (zh) * 2017-09-21 2020-05-05 应用材料公司 高深宽比沉积
CN107895724B (zh) * 2017-11-13 2021-01-22 中国科学院微电子研究所 一种三维存储器及其制作方法
US10515796B2 (en) * 2017-11-21 2019-12-24 Applied Materials, Inc. Dry etch rate reduction of silicon nitride films
US20200058497A1 (en) * 2018-08-20 2020-02-20 Applied Materials, Inc Silicon nitride forming precursor control
US10903070B2 (en) 2018-09-28 2021-01-26 Lam Research Corporation Asymmetric wafer bow compensation by chemical vapor deposition
US10896821B2 (en) 2018-09-28 2021-01-19 Lam Research Corporation Asymmetric wafer bow compensation by physical vapor deposition
KR20230160963A (ko) * 2018-09-28 2023-11-24 램 리써치 코포레이션 비대칭 웨이퍼 보우 보상
CN109385615A (zh) * 2018-10-31 2019-02-26 德淮半导体有限公司 沉积设备及其沉积方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4624736A (en) * 1984-07-24 1986-11-25 The United States Of America As Represented By The United States Department Of Energy Laser/plasma chemical processing of substrates
US5877095A (en) * 1994-09-30 1999-03-02 Nippondenso Co., Ltd. Method of fabricating a semiconductor device having a silicon nitride film made of silane, ammonia and nitrogen
US5970384A (en) * 1994-08-11 1999-10-19 Semiconductor Energy Laboratory Co., Ltd. Methods of heat treating silicon oxide films by irradiating ultra-violet light

Family Cites Families (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE1696625C3 (de) * 1966-10-07 1979-03-08 Syumpei, Yamazaki Verfahren zum Erzeugen einer Nitridschutzschicht auf einem Halbleiterkörper
US4549064A (en) * 1983-04-05 1985-10-22 Fairchild Camera & Instrument Corp. Laser treatment of silicon nitride
US4854263B1 (en) * 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
JPH01176067A (ja) * 1987-12-29 1989-07-12 Hoya Corp 窒化シリコン膜の成膜方法
JP2794708B2 (ja) * 1988-03-31 1998-09-10 ソニー株式会社 半導体装置の蓄積電荷低減方法
DE4029270C1 (zh) * 1990-09-14 1992-04-09 Balzers Ag, Balzers, Li
JPH05102137A (ja) * 1991-10-08 1993-04-23 Sharp Corp 窒化シリコンパツシベーシヨン膜形成方法
US5308946A (en) * 1992-02-06 1994-05-03 Mohr Glenn R Induction heating apparatus and method for heating metal strips and slabs
JP3307937B2 (ja) * 1992-12-11 2002-07-29 ヘラオイス・ノーブルライト・ゲーエムベーハー 半導体層及び絶縁層製造法
JP2875945B2 (ja) * 1993-01-28 1999-03-31 アプライド マテリアルズ インコーポレイテッド Cvdにより大面積のガラス基板上に高堆積速度でシリコン窒化薄膜を堆積する方法
US5698469A (en) * 1994-09-26 1997-12-16 Endgate Corporation Method of making a hybrid circuit with a chip having active devices with extra-chip interconnections
JPH08203894A (ja) * 1995-01-30 1996-08-09 Sony Corp 半導体装置の製造方法
JP3862305B2 (ja) * 1995-10-23 2006-12-27 松下電器産業株式会社 不純物の導入方法及びその装置、並びに半導体装置の製造方法
KR980011954A (ko) * 1996-07-09 1998-04-30 반도체 웨이퍼상에 필름을 구성하기 위한 챔버
US6170428B1 (en) * 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US6039834A (en) * 1997-03-05 2000-03-21 Applied Materials, Inc. Apparatus and methods for upgraded substrate processing system with microwave plasma source
US6125859A (en) * 1997-03-05 2000-10-03 Applied Materials, Inc. Method for improved cleaning of substrate processing systems
US6284633B1 (en) * 1997-11-24 2001-09-04 Motorola Inc. Method for forming a tensile plasma enhanced nitride capping layer over a gate electrode
US6098568A (en) * 1997-12-01 2000-08-08 Applied Materials, Inc. Mixed frequency CVD apparatus
US6041734A (en) * 1997-12-01 2000-03-28 Applied Materials, Inc. Use of an asymmetric waveform to control ion bombardment during substrate processing
US6060400A (en) * 1998-03-26 2000-05-09 The Research Foundation Of State University Of New York Highly selective chemical dry etching of silicon nitride over silicon and silicon dioxide
US5985771A (en) * 1998-04-07 1999-11-16 Micron Technology, Inc. Semiconductor wafer assemblies comprising silicon nitride, methods of forming silicon nitride, and methods of reducing stress on semiconductive wafers
JP4364438B2 (ja) * 1998-07-10 2009-11-18 アプライド マテリアルズ インコーポレイテッド 高膜品質で水素含有量の低い窒化ケイ素を堆積するプラズマプロセス
US6148832A (en) * 1998-09-02 2000-11-21 Advanced Micro Devices, Inc. Method and apparatus for in-situ cleaning of polysilicon-coated quartz furnaces
US6140255A (en) * 1998-12-15 2000-10-31 Advanced Micro Devices, Inc. Method for depositing silicon nitride using low temperatures
KR100310103B1 (ko) * 1999-01-05 2001-10-17 윤종용 반도체 장치의 제조 방법
US6204201B1 (en) * 1999-06-11 2001-03-20 Electron Vision Corporation Method of processing films prior to chemical vapor deposition using electron beam processing
US6271146B1 (en) * 1999-09-30 2001-08-07 Electron Vision Corporation Electron beam treatment of fluorinated silicate glass
US6248633B1 (en) * 1999-10-25 2001-06-19 Halo Lsi Design & Device Technology, Inc. Process for making and programming and operating a dual-bit multi-level ballistic MONOS memory
US6344419B1 (en) * 1999-12-03 2002-02-05 Applied Materials, Inc. Pulsed-mode RF bias for sidewall coverage improvement
US6372291B1 (en) * 1999-12-23 2002-04-16 Applied Materials, Inc. In situ deposition and integration of silicon nitride in a high density plasma reactor
US6358670B1 (en) * 1999-12-28 2002-03-19 Electron Vision Corporation Enhancement of photoresist plasma etch resistance via electron beam surface cure
US6582777B1 (en) * 2000-02-17 2003-06-24 Applied Materials Inc. Electron beam modification of CVD deposited low dielectric constant materials
US6368931B1 (en) * 2000-03-27 2002-04-09 Intel Corporation Thin tensile layers in shallow trench isolation and method of making same
US6566278B1 (en) * 2000-08-24 2003-05-20 Applied Materials Inc. Method for densification of CVD carbon-doped silicon oxide films through UV irradiation
FR2814279B1 (fr) * 2000-09-15 2003-02-28 Alstom Substrat pour circuit electronique et module electronique utilisant un tel substrat
JP3572268B2 (ja) * 2001-04-03 2004-09-29 三菱重工業株式会社 半導体装置の作製方法
US6756318B2 (en) * 2001-09-10 2004-06-29 Tegal Corporation Nanolayer thick film processing system and method
US7008484B2 (en) * 2002-05-06 2006-03-07 Applied Materials Inc. Method and apparatus for deposition of low dielectric constant materials
US20040101632A1 (en) * 2002-11-22 2004-05-27 Applied Materials, Inc. Method for curing low dielectric constant film by electron beam
US20030215570A1 (en) * 2002-05-16 2003-11-20 Applied Materials, Inc. Deposition of silicon nitride
TWI288443B (en) * 2002-05-17 2007-10-11 Semiconductor Energy Lab SiN film, semiconductor device, and the manufacturing method thereof
US6905940B2 (en) * 2002-09-19 2005-06-14 Applied Materials, Inc. Method using TEOS ramp-up during TEOS/ozone CVD for improved gap-fill
US7172792B2 (en) * 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
US7132369B2 (en) * 2002-12-31 2006-11-07 Applied Materials, Inc. Method of forming a low-K dual damascene interconnect structure
US6897163B2 (en) * 2003-01-31 2005-05-24 Applied Materials, Inc. Method for depositing a low dielectric constant film
US6942813B2 (en) * 2003-03-05 2005-09-13 Applied Materials, Inc. Method of etching magnetic and ferroelectric materials using a pulsed bias source
JP2005079141A (ja) * 2003-08-28 2005-03-24 Asm Japan Kk プラズマcvd装置
US20050156208A1 (en) * 2003-09-30 2005-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Device having multiple silicide types and a method for its fabrication
US7053400B2 (en) * 2004-05-05 2006-05-30 Advanced Micro Devices, Inc. Semiconductor device based on Si-Ge with high stress liner for enhanced channel carrier mobility
US7041543B1 (en) * 2004-08-20 2006-05-09 Novellus Systems, Inc. Strained transistor architecture and method

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4624736A (en) * 1984-07-24 1986-11-25 The United States Of America As Represented By The United States Department Of Energy Laser/plasma chemical processing of substrates
US5970384A (en) * 1994-08-11 1999-10-19 Semiconductor Energy Laboratory Co., Ltd. Methods of heat treating silicon oxide films by irradiating ultra-violet light
US5877095A (en) * 1994-09-30 1999-03-02 Nippondenso Co., Ltd. Method of fabricating a semiconductor device having a silicon nitride film made of silane, ammonia and nitrogen

Also Published As

Publication number Publication date
JP4903154B2 (ja) 2012-03-28
KR101244839B1 (ko) 2013-03-20
WO2006055459A3 (en) 2007-07-12
TWI360180B (en) 2012-03-11
TW200625447A (en) 2006-07-16
KR20110138296A (ko) 2011-12-26
KR20070088711A (ko) 2007-08-29
EP1815505A2 (en) 2007-08-08
KR101244859B1 (ko) 2013-03-19
US20060105106A1 (en) 2006-05-18
CN101088150A (zh) 2007-12-12
KR20090122993A (ko) 2009-12-01
KR100954254B1 (ko) 2010-04-23
KR101244832B1 (ko) 2013-03-22
KR101244850B1 (ko) 2013-03-19
KR101244863B1 (ko) 2013-03-19
KR20090052399A (ko) 2009-05-25
WO2006055459A2 (en) 2006-05-26
KR20110138294A (ko) 2011-12-26
KR20110138295A (ko) 2011-12-26
JP2008522405A (ja) 2008-06-26

Similar Documents

Publication Publication Date Title
CN101088150B (zh) 用于半导体的拉伸及压缩应力材料
US8501568B2 (en) Method of forming flash memory with ultraviolet treatment
CN101167165B (zh) 增加pecvd氮化硅膜层的压缩应力的方法
KR101201402B1 (ko) 포스트 pecvd 증착 uv 경화를 이용하여 실리콘 질화물 막들의 인장 스트레스를 증가시키는 방법
US7247582B2 (en) Deposition of tensile and compressive stressed materials
TWI343598B (en) Method to increase the compressive stress of pecvd silicon nitride films
WO2011126748A2 (en) Depositing conformal boron nitride films
US20080173908A1 (en) Multilayer silicon nitride deposition for a semiconductor device
JP2015507362A (ja) 共形窒化シリコン炭素膜および共形窒化シリコン膜の低温プラズマ化学気相堆積
KR20070118140A (ko) 스트레스가 가해진 트랜지스터 구조물을 제조하는 집적화프로세스
US7700499B2 (en) Multilayer silicon nitride deposition for a semiconductor device
US20110210401A1 (en) Multilayer silicon nitride deposition for a semiconductor device

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C53 Correction of patent of invention or patent application
CB02 Change of applicant information

Address after: American California

Applicant after: Applied Materials Inc.

Address before: American California

Applicant before: Applied Materials Inc.

C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20130213

Termination date: 20141110

EXPY Termination of patent right or utility model