JP4681559B2 - メモリ・システムのトラッキング・セル - Google Patents

メモリ・システムのトラッキング・セル Download PDF

Info

Publication number
JP4681559B2
JP4681559B2 JP2006533611A JP2006533611A JP4681559B2 JP 4681559 B2 JP4681559 B2 JP 4681559B2 JP 2006533611 A JP2006533611 A JP 2006533611A JP 2006533611 A JP2006533611 A JP 2006533611A JP 4681559 B2 JP4681559 B2 JP 4681559B2
Authority
JP
Japan
Prior art keywords
tracking
state
storage element
identifying
data
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2006533611A
Other languages
English (en)
Other versions
JP2007500918A5 (ja
JP2007500918A (ja
Inventor
シー. ギューテルマン、ダニエル
ジェイ. グロス、スティーブン
ハーリド、シャザド
エス. ゴングワー、ジェフリー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
SanDisk Corp
Original Assignee
SanDisk Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by SanDisk Corp filed Critical SanDisk Corp
Publication of JP2007500918A publication Critical patent/JP2007500918A/ja
Publication of JP2007500918A5 publication Critical patent/JP2007500918A5/ja
Application granted granted Critical
Publication of JP4681559B2 publication Critical patent/JP4681559B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/56Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using storage elements with more than two stable states represented by steps, e.g. of voltage, current, phase, frequency
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C16/00Erasable programmable read-only memories
    • G11C16/02Erasable programmable read-only memories electrically programmable
    • G11C16/06Auxiliary circuits, e.g. for writing into memory
    • G11C16/26Sensing or reading circuits; Data output circuits
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C16/00Erasable programmable read-only memories
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C16/00Erasable programmable read-only memories
    • G11C16/02Erasable programmable read-only memories electrically programmable
    • G11C16/06Auxiliary circuits, e.g. for writing into memory
    • G11C16/34Determination of programming status, e.g. threshold voltage, overprogramming or underprogramming, retention
    • G11C16/349Arrangements for evaluating degradation, retention or wearout, e.g. by counting erase cycles
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/56Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using storage elements with more than two stable states represented by steps, e.g. of voltage, current, phase, frequency
    • G11C11/5621Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using storage elements with more than two stable states represented by steps, e.g. of voltage, current, phase, frequency using charge storage in a floating gate

Description

(発明の背景)
(1.技術分野)
本発明はメモリ・デバイスを読み取る技術に関する。
(2.関連技術)
半導体メモリ・デバイスは、様々な電子装置での使用で大きな人気を博している。例えば、不揮発性の半導体メモリは、セルラー電話、デジタル・カメラ、パーソナル・デジタル・アシスタント(PDA)、モバイル・コンピューティング・デバイス、非モバイル・コンピューティング・デバイス等に使用されている。電気的消去可能プログラム可能型読取専用メモリ(EEPROM)やフラッシュ・メモリは、中でも最も人気が高い不揮発性の半導体メモリである。
EEPROMとフラッシュ・メモリはどちらも、フローティング・ゲートを利用する。フローティング・ゲートは、半導体基板のチャネル領域の上に位置しており、チャネル領域から絶縁されている。フローティング・ゲートはソース領域とドレイン領域の間に位置している。コントロール・ゲートは、フローティング・ゲートから絶縁されており、フローティング・ゲートの上に設けられている。トランジスタのしきい電圧は、フローティング・ゲートに保持されている電荷の量によって制御される。すなわち、トランジスタをオンに切換えて、ソースとドレインの間を導通可能にするのに先立って、コントロール・ゲートに印加されなければならない最低限の電圧は、フローティング・ゲートの電荷保持のレベルによって制御される。
多くのEEPROMとフラッシュ・メモリは、二つの電荷保持範囲を保存するために使用されるフローティング・ゲートを有し、従って、メモリ・セルは二つの状態の間でプログラミング/消去をすることができる。このようなメモリ・セルは、1ビットのデータを保存する。他のEEPROMとフラッシュ・メモリのメモリ・セルは、複数の電荷保持範囲を保存しているため、複数の状態にプログラミングすることができる。このようなメモリ・セルは複数ビットのデータを保存する。しきい電圧範囲のサイズおよびパラメータは、装置の特徴、動作状態、および履歴に依存する。
従来のEEPROMやフラッシュ・メモリは、そのデバイスが消去とプログラミングのサイクルを経るたびに、耐久性に関するストレスを受ける。フラッシュ・メモリの耐久性とは、所定の回数の消去およびプログラミングのサイクルに耐える能力のことである。使用が重なるにつれ、メモリ・デバイス内に不具合が蓄積していき、デバイスの信頼性が極度に下がる。従来のフラッシュ・メモリ・デバイスの耐久性を制限してしまう一つの物理的な現象は、フローティング・ゲートと基板の間にある誘電体の電子の捕獲である。プログラミング中、電子は誘電体を介して基板からフローティング・ゲートに注入される。同様に、消去中、電子は誘導体を介してフローティング・ゲートから抽出される。どちらの場合も、誘電体に電子が捕獲されてしまう可能性がある。捕獲された電子は、印加された電界と、その後実行されるプログラミング/消去サイクルに対抗し、その結果、プログラミングのしきい電圧が以前より低くなり、消去のしきい電圧が以前より高くなるという現象が起こる。これによって、プログラミングと消去の状態間の電圧範囲が徐々に狭まるように見えるだろう。プログラミング/消去サイクルが持続された場合、デバイスは、いずれ修復不可能な故障を引き起こす恐れがある。マルチステートメモリが実装されている場合には、より的確なしきい電圧が要求されるため、この問題はさらに深刻なものとなる。
二つ目の問題はフローティング・ゲートの電荷保持に関する。例えば、フローティング・ゲートの負電荷は、しばらくすると漏れて減少する傾向がある。これが原因で、時間とともにしきい電圧がより低い値にシフトしてしまう。デバイスの寿命がくる時には、しきい電圧は1ボルト以上もシフトしているかもしれない。マルチステートデバイスでは、これによりメモリ・セルの状態が一つか二つシフトしてしまう可能性もある。
三つ目の問題は、メモリ・デバイスの複数のセルに、プログラミング/消去サイクルが均等に行われないことである。例えば、メモリ・セルのセットに反復的なパターンが継続的にプログラミングされることは珍しくない。従って、幾つかのセルは常にプログラミングおよび消去されるのに対して、他のセルは滅多にあるいは全くプログラミングされない。このような不均等なプログラミングと消去は、特定のセクタのセルに不均等なストレス・コンディションをもたらす。不均等なプログラミング/消去サイクルの履歴は、個々の状態の全てにおいて、しきい電圧の分布をより幅広くしてしまう。しきい電圧の分布の拡大に加え、いくつかのセルでは上述の電圧範囲の狭まり、デバイスの故障あるいは電荷保持の問題がその他のセルよりも早く生じるかもしれない。
(発明の要旨)
本発明は概略では、メモリ・システムの読み取り処理を改善するために使用されるトラッキング・セルに関する。様々な実施形態において、トラッキング・セルは、データ修復処理の一部として使用され、データの品質に関する問題点を指摘する警告を提供するために使用されたり、および/または、データがメモリにおいてどのように符号化されるかについての指示を保持する手段として使用されたりする。一実施形態では、エラー訂正符号(ECC)処理がデータのエラーを訂正できない場合に、トラッキング・セルはデータ修復のためだけに使用される。
本発明の一実施形態は、記憶素子のセットを含むメモリ・システムに保持されているデータを読み取る工程を含む。記憶素子は、データ記憶素子とトラッキング記憶素子を含む。データ記憶素子は、複数の状態のセットにおいて巡回可能に符号化されたデータを保持することができる。トラッキング記憶素子は読み取られ、複数のトラッキング状態に分類される。トラッキング状態は、データ記憶素子によって利用される複数の状態のサブセットに対応する。トラッキング記憶素子の分類に基いて、ローテーション・スキーム(すなわち、選択される特定の巡回符号化)が特定される。幾つかあるいは全てのデータ記憶素子が、特定されたローテーション・スキームを用いて読み取られる。一つの実施例では、ローテーション・スキームを特定する工程は、2またはそれ以上の非冗長なトラッキング記憶素子の分類を組み合わせて、他の識別子と結合されることでローテーション・スキームを指し示す識別子を生成する工程を含む。
本発明のもう一つの実施形態は、トラッキング・セルに対して複数の読み取り動作を行い、それらの動作の間にエラー情報を記録する工程を含む。記録されたエラー情報に基いて、品質ゲージが特定される。品質ゲージが所定の基準を満たしたら、所定の応答が実行される。所定の数のトラッキング記憶素子にエラーがある場合、所定の数のトラッキング・セルのしきい電圧が少なくとも所定の値だけ予想値と異なる場合、あるいはエラーしきい値の連続的なセット(例えば、時間に関して異なるエラー・レベル)を超えている場合、品質ゲージは警告を含むことができる。応答の例としては、読み取り処理の強制終了や、ECC操作のパラメータの変更、および/またはデータ修復処理の開始などが含まれる。
本発明による幾つかの実施形態は、記憶素子の状態群のサブセットのそれぞれの状態に対して、複数の読み取り動作を実行する工程を含む。記憶素子の状態群は、メモリ・システムにおけるマルチステート記憶素子について、異なるデータ値を表現する。その複数の読み取り動作の結果に基いて、記憶素子の状態群のそれぞれを識別するための比較値の現在のセットが特定される。一つの実施例では、第1の状態に関連する複数のしきい電圧レベル群についてのトラッキング記憶素子群の第1のセットに読み取り動作を実行する工程と、その記憶素子群の第1のセットに読み取り動作を実行する工程に基いて、トラッキング記憶素子群の第1のセットについてのしきい電圧レベル群を特定する工程と、第2の状態に関連する複数のしきい電圧レベル群についてのトラッキング記憶素子群の第2のセットに読み取り動作を実行する工程と、その記憶素子群の第2のセットに読み取り動作を実行する工程に基いて、トラッキング記憶素子群の第2のセットについてのしきい電圧レベル群を特定する工程と、特定された第1の状態に対するしきい電圧レベル群と第2の状態に対するしきい電圧レベル群に基いて、現在の読み取りの比較値を変更する工程を含む。ここで、第1の状態と第2の状態は、互いに隣接するものではない。
上述した様々な読み取り動作は、ホスト・デバイスがデータをリクエストした時の応答として、あるいは(例えば、他の場所へのデータのコピーや、ガベージ・コレクションなどの)内部的な動作の一部として実行される。
本発明の一実施例は、記憶素子群のセットと制御回路を含む。その記憶素子群は、マルチステートデータ記憶素子群とトラッキング記憶素子群を含む。そのトラッキング記憶素子群は、そのデータ記憶素子群によって用いられる複数の状態群のサブセットを用いる。制御回路は、トラッキング記憶素子群と通信しており、上述の機能を実行させることができる。メモリ・システムはEEPROMメモリ・システム、フラッシュ・メモリ・システム、あるいは他の適当な種類のメモリ・システムでもよい。一実施例では、制御回路は記載された機能を達成するために特注されたハードウェアを含む。他の1つの実施例では、制御装置は記載された機能を達成するようプログラミングされる。例えば、制御装置をプログラミングするため、ソフトウェア/ファームウェアが1またはそれ以上のプロセッサで読み取り可能な記憶媒体(例えば、フラッシュ・メモリ、EEPROM、DRAM、および他の媒体)に保存されていてもよい。
典型的な一実施例では、データ記憶素子群は8つのしきい電圧状態(状態0、状態1、状態2、状態3、状態4、状態5、状態6、状態7)を利用し、トラッキング記憶素子は状態1と状態6を使用する。ローテーション・コードの1ビットを確立するため、トラッキング素子はペアにグループ分けされる。ローテーション・コードは3ビットで構築される。3つのペアの複数セット(例えば、4セット)が、冗長性のために利用される。
本発明の上述およびその他の目的および利点は、以下に図面とともに説明される本発明の好ましい実施形態の記載から、より明確となるであろう。
(詳細な説明)
(1.メモリ・システム)
図1の構成図は、本発明の様々な特徴を取り入れたメモリ・システムの例を示す。本発明は、図1の構造だけでなく、他の構造でも使用できる。多数の個々にアドレス可能なメモリ・セル11は、行と列のアレイに配列されている。アレイ11の列に沿って延びるビット線は、線15を介してビット線のデコーダ、ドライバおよびセンス・アンプ回路13と電気的に接続されている。アレイ11の行に沿って延びるワード線は、線17を介してワード線のデコーダおよびドライバ回路19と電気的に接続されている。アレイ11のメモリ・セルの列に沿って延びるステアリング・ゲートは、線23を介してステアリング・ゲートのデコーダおよびドライバ回路21に電気的に接続されている。回路13、19、および21はそれぞれバス25を介して制御装置27からアドレスを受信する。デコーダおよびドライバ13、19、および21は、個々の制御およびステータス信号線29、31および33を通じても、制御装置27に接続されている。ステアリング・ゲートとビット線に印加される電圧は、制御装置とドライバ13および21を相互接続するバス22を介して調整される。
制御装置27は、線35を介してホスト・デバイス(図示せず)に接続可能である。そのホスト・デバイスは、パソコン、ノート・パソコン、携帯型デバイス、デジタル・カメラ、オーディオ・プレーヤー、セルラー電話や、他の様々なデバイスでもよい。図1のメモリ・システムは、PCMCIA、CompactFlashTM Association、MMCTM Association、スマート・メディア、Secure DigitalTM、メモリ・スティックなどの、現存する技術的および電気的標準規格に基づいたカードとして実装される。カード形式の場合、線35はそのカード上でホスト・デバイスの予備コネクタとインターフェース接続するコネクタにおいて終端処理される。あるいは、図1のメモリ・システムは、ホスト・デバイスに内蔵することができる。さらにあるいは、制御装置27をホスト・デバイスへ内蔵して、メモリ・システムの他の部分を取り外し可能なカード上に実装することができる。他の実施形態では、メモリ・システムはカード以外のパッケージに実装することができる。例えば、メモリ・システムを1つまたはそれ以上の集積回路、あるいは1つまたはそれ以上の回路基板、あるいはそれ以外のパッケージなどに実装することができる。
デコーダおよびドライバ回路13、19および21は、制御およびステータス信号線29、31および33のそれぞれの制御信号に従って、バス25上でのアドレス指定のとおりに、アレイ11のそれぞれの線に適切な電圧を生成して、プログラミング、読み取り、および消去の機能を実行する。電圧レベルおよびその他のアレイ・パラメータを含むステータス信号は、アレイ11によって制御装置27へ同じ制御およびステータス信号線29、31および33を介して提供される。回路13内にある複数のセンス・アンプは、アレイ11内のアドレス指定されたメモリ・セルの状態を示す電流あるいは電圧レベルを受け取る。読み取り動作中に、センス・アンプは制御装置27に線41を介してメモリ・セルの状態の情報を提供する。多数のメモリ・セルの状態を並列に読み取るため、通常は多数のセンス・アンプが使用される。
(2.メモリ・セル)
図2は、メモリ・アレイ11の一部分の第一実施形態を表す平面図である。図3は、そのメモリ・アレイのセクションA−Aにおける部分的断面図を示す。基板と導体素子が、それらの間に配置された誘電体層の概略と共に示されている。これにより図面が簡略化されるが、導電層同士の間、および導電層と基板の間に、適切な酸化被膜が含まれると理解するべきである。
シリコン基板45は、平らな上側表面47を含む。最初のイオン注入と、それに続く拡散によって、細長い拡散領域49、51、および53が、表面47を介して基板45内に形成される。細長い拡散領域49、51、および53は、メモリ・セルのソースおよびドレインとしての役割を果たす。本明細書においては、拡散領域は第1の「X」方向に間隔を置いて、第2の「Y」方向に長く伸びて示されている。これらの「X」方向と「Y」方向は原則的に互いに直交している。幾つかのフローティング・ゲートが基板表面47にわたって、それらの間の適当なゲート誘電体とともに、行と列のアレイに配列されている。フローティング・ゲート55、56、57、58、59、および60の1行は、フローティング・ゲート62、63、64、65、66、および67の他の1行と平行に隣接している。フローティング・ゲート69、55、62、71、および73の1列は、フローティング・ゲート75、56、63、77、および79の他の1列と平行に隣接している。上記のフローティング・ゲートは、導電的にドープされた第1の多結晶シリコン(ポリシリコン)層から形成される。それは、表面に蒸着された後に、1またはそれ以上のマスキング工程を用いたエッチングによって、個々のフローティング・ゲートに分離されている。
ビット線のデコーダおよびドライバ13(図1を参照)は、線15を介して、図2および図3の拡散領域49、51、および53を含むアレイの全てのビット線のソース/ドレインの拡散領域に接続されている。個々のメモリ・セルの列のソースとドレインは、バス25を通して供給されるアドレスと線29上の制御信号に応じて読み取りあるいはプログラミングを行う上で適した動作電圧に接続されている。
図2と図3の構造では、フローティング・ゲートの列の2列ごとに1つのステアリング・ゲートを用いる。ステアリング・ゲート81、83、および85は、「Y」方向に伸びており、隣接する2つのフローティング・ゲートの列とそれらの間に配置されているソース/ドレインの拡散領域にわたって広がる「X」方向の幅を持っている。どの2つのステアリング・ゲートの間隔も、その2つのステアリング・ゲートが重ねられている隣接するフローティング・ゲートの列の「X」方向における間隔と少なくとも同程度に大きい。これは後でその間隔において基板にゲートを形成するためである。ステアリング・ゲートは、導電的にドープされた第2のポリシリコン層をエッチングすることによって形成される。その第2のポリシリコン層は、第1のポリシリコン層と適当なポリシリコン層間の誘電体の全表面にわたって蒸着されたものである。ステアリング・ゲートのデコーダおよびドライバ21(図1を参照)は、線23を介して全てのステアリング・ゲートに接続され、バス25上で提供されるアドレスと、線33上の制御信号と、ドライバおよびセンス・アンプ13からのデータに応じて、それぞれの電圧を個々に制御することができる。
図2および図3のワード線91、92、93、94、および95は、「x」方向に伸びており、各ワード線がフローティング・ゲートの行に沿うように、「Y」方向に間隔を空けながら上記のステアリング・ゲートの全体に広がっている。ワード線は、導電的にドープされた第3のポリシリコン層をエッチングすることによって形成される。その第3のポリシリコン層は、第2のポリシリコン層、およびステアリング・ゲート同士の間で露出した領域の上にまず形成された誘電体の、上側表面の全てにわたって蒸着されたものである。ワード線は、読み取りまたは書き込みのための、ワード線の行における全てのメモリ・セルの選択を可能とする。メモリ・アレイの1つの行を個々に選択するため、選択ゲートのデコーダおよびドライバ19(図1を参照)が、それぞれのワード線に接続されている。選択された行の個々のセルは、ビット線のデコーダおよびドライバ13と、ステアリング・ゲートのデコーダおよびドライバ21(図1を参照)による読み取りまたは書き込みを可能にする。
このような構造のゲートの素材は、ドープされたポリシリコン材料が好ましいが、上述した3層のポリシリコン層の1つまたはそれ以上の代わりに、他の適当な導電性材料が用いられてもよい。例えば、ワード線と選択ゲートが形成される第3の層については、導電性を高めるために、ポリサイド材料であってもよい。ポリサイド材料は、導電性の高融点金属(例えばタングステン)シリサイドを上面に備えるポリシリコンである。ポリサイドは、通常は第1のポリシリコン層や第2のポリシリコン層の代わりに用いられることはない。なぜなら、ポリサイドから形成される多結晶シリコン間酸化物の品質は、たいていの場合は満足のいくものではないからである。
図2および図3には、金属導電層が図示されていない。たいていの場合、拡散領域とポリシリコン素子の導電性は金属の導電性より極めて低いため、それぞれの金属線が全ての中間層にわたってポリシリコン素子と拡散領域の長さ方向に周期的な間隔で配置されるように、個々の層に金属の導電体が含まれている。図2および図3の実施形態の全ての拡散領域とポリシリコン素子は、別々に駆動されなければならないため、通常は多数の金属線と多数の拡散領域およびポリシリコン素子の間に、1対1の対応関係がある。
図4は図3の構造と電気的に等価な回路を図示しており、等価な素子には図2および図3における参照符号と同一の符号にプライム(')を付して示している。図示された構造は、ソースおよびドレインの拡散領域を、隣接する構造と共有している。互いに隣接する拡散領域49および51の間での基板におけるチャネルの導通は、3つの異なる領域における異なるゲート素子によって制御される。左側の第1の領域(T1−左)は、真上に位置するフローティング・ゲート56と、容量結合されたステアリング・ゲート81を備えている。右側の第2の領域(T1−右)は、同様に、フローティング・ゲート57とステアリング・ゲート83によって制御される。第3の領域T2は、T1−左とT1−右の間に位置し、ワード線92の一部である選択ゲート99によって制御される。
従って、拡散領域49および51の間のチャネルを電子が導通するレベルは、これらの異なるゲート素子に加えられる電圧により、これらのゲート素子によってそれぞれのチャネル領域に印加される電界によって影響を受ける。フローティング・ゲートの電圧は、ゲートが保持する正味の電荷に他のゲートおよびノードから容量結合された変位電荷を加えたレベルに依存している。フローティング・ゲートの下方のチャネル部において許容される導通のレベルは、そのフローティング・ゲートの電圧によって制御される。選択ゲート99の電圧は、ソース/ドレイン領域を接続する個々のセルを選択するために、チャネルを任意の導通レベルにまでオンしたりオフしたりする。1つの実施形態では、単一のメモリ・セルは、3つのトランジスタが直列接続されたものだと考えることができる。この場合において、3つの異なるチャネルの領域(T1−左、T2、T1−右)のそれぞれが、1つのトランジスタに相当する。他の実施形態では、各フローティング・ゲートが単一のメモリ・セルだと考えることができる。
単一のメモリ・セルが持つ2つのフローティング・ゲートのうちの一方が、プログラミングまたは読み取りのために選択される。それは、他方の(選択されていない)フローティング・ゲートによって保持される電荷にかかわらず、その他方のフローティング・ゲートのチャネル領域が十分に導通するように、その他方のフローティング・ゲート上のステアリング・ゲートに電圧を印加することにより行われる。ワード線に加えられる十分な電圧によって、そのセルの選択トランジスタがオンに切換えられると、その選択されたフローティング・セルのみが、そのセルに指示された読み取りまたはプログラミングの実行に応じる。その一方のフローティング・ゲートの状態の読み取りをしている間、ソースとドレインの間を流れる電流は、他方のフローティング・ゲートの電荷にかかわらず、選択されたフローティング・ゲートによって保持される電荷に依存する。選択されていないフローティング・ゲートの下方のチャネル部を導通させるために、その選択されていないフローティング・ゲート上のステアリング・ゲートに加えられる電圧は、その同じステアリング・ゲートを通して隣接するセルの隣接するフローティング・ゲートにも結合されている。しかしながら、その隣接するセルの他の素子について適当な電圧コンディションを保つことによって、その隣接するセルへの影響は排除される。
図2〜4の実施形態のフローティング・ゲートは、そのフローティング・ゲートのビット線(ソースおよびドレインの拡散領域)と2つのステアリング・ゲートに電圧を印加し、基板のチャネル領域にある電子にゲート誘電体を横切って選択されたフローティング・ゲート上へ注入されるのに十分なエネルギーを得させることによって、プログラムされることが好ましい。これを行うための好適な技術が、アメリカ合衆国特許番号5,313,421と番号5,712,180に記載されている「ソース側注入」である。これらの文献は、参照することによって、本明細書に組み込まれる。
図2〜4の実施形態のメモリ・セルを消去するためには、電子を選択されたフローティング・ゲートからワード線の選択ゲートあるいはチャネルへ移動させるように設計され、動作させられるだろう。選択ゲートへ消去される場合、フローティング・ゲートの端部103と選択ゲート99の間の誘電体は、フローティング・ゲートの端部に成長した薄い酸化層であって、セルの様々な素子に適切な電圧が印加された時に電子がトンネル移動するものであることが好ましい。フローティング・ゲートの端部105と選択ゲート99の間にも、同様のものが設けられている。選択ゲート99へ消去されるよう設計された場合、ゲート誘電体を横切ったその選択ゲートと基板表面47の間の電圧勾配が、その誘電体の破壊レベルより十分に低く維持されるように注意しなければならない。その理由は、ふつうは消去している間はワード線が10Vを超え、場合によっては20V以上にまでレベルが上げられるのに対して、セルに加えられる他の電圧は5V以下であるからである。選択ゲートの誘電体を横切る電圧勾配は、誘電体を厚くするか、通常より大きな誘電率を選択することにより、低減することができるが、これは選択トランジスタの動作に悪影響を及ぼす可能性がある。
セルがチャネルへ消去されるものである場合、図2〜4の実施形態はいくらか変更される。まず、選択ゲート99と、隣接するフローティング・ゲートの端部103および105の間の誘電体をより厚くして、フローティング・ゲートから選択ゲートへの消去を防止する。次いで、フローティング・ゲートの下面と基板表面47との間のゲート誘電体の厚さを薄くして、例えば100オングストローム程度まで薄くして、電子のトンネル移動を容易なものとする。さらに、1つのフロックとして同時に消去されるべきセル群は、列に沿って、あるいはブロックの中でグループ化される。一実施形態では、基板上において、あるブロックは他のブロックから隔離されている。通常は、これはトリプル・ウェル処理によって行われている。ここでは、p型基板にnウェルが形成され、セルのブロックを保持するpウェルが、他のブロックからそのブロックを隔離するnウェルの内部に位置決めされる。そして、その他のブロックに影響を及ぼすことなく、消去されるべきブロックのpウェルに適切な消去電圧が印加される。
図1〜5の構造のさらなる詳細は、アメリカ合衆国特許番号6,151,248に記載されている。この文献は、その全てを参照することによって、本明細書に組み込まれる。図2〜4のメモリ構造は、適切なメモリ・セルの一例である。本発明を実装するために、他の構造が用いられてもよい。例えば、一実施形態では、帯電した誘電体を含む複数層の誘電体を用いることもできる。
(3.メモリ・アレイの動作)
図5の表に、アレイ11のメモリ・セルをプログラミング、読み取りおよび消去するための動作電圧の例を示す。(2)の行は、選択ゲート(ワード線)へ消去される種類のセルの動作に関するものであり、(8)の行は、基板へ消去される種類のセルの動作のために変更したものを示す。これらの例では、セルが形成される基板の部分にはp型ドーピングを含み、ビット線の拡散領域はn型である。これらの動作の間、基板は接地されている。
図5の表の(1)の行には、選択されていない行の電圧コンディションを示している。選択されていない行のワード線は、ドライバ回路19(図1)によって接地される。選択されていない行に沿うセルの、ビット線(拡散領域)とステアリング・ゲートの列における「X」は、その素子にかかる電圧が問題とならない、「無関係な」状況にあることを示す。回路13、19および21は何れも、アレイの素子に対して負の電圧を生成することはないから、この例では、行の選択ゲートの電圧が0Vであれば、その行に沿うセルのうち有効となるものは1つもないことが保証される。それらのチャネルには、電流が流れない。異なる行で同じ列にある他のセルのプログラミングや読み取りは、ワード線が0Vである行に影響を及ぼすことなく行うことができる。
表の(2)の行には、ワード線の選択ゲートへ消去されるように設計された種類のセルを消去するための電圧のセットの例を示す。10V〜25Vの範囲(例えば20V)の高い消去電圧VEが、ドライバ回路19によって、消去されるべきフローティング・ゲートのワード線の全てに印加される。これは通常は、隣接する多数の行の全てのセルを含む、少なくとも1つの特定されたセル群のブロックである。しかしながら、好ましい応用例では、より少ないセル、あるいはより多くのセルが、同時に消去されてもよい。あるいは、その消去されるブロックは、単一の行のセルに制限されてもよい。1つまたはそれ以上の選択された行に沿うセルのステアリング・ゲートは、ステアリング・ゲートとフローティング・ゲートの間の高度な容量結合を利用してフローティング・ゲートの電圧を低いレベルに維持するために、ドライバ回路21によって低電圧(例えば0V)に設定される。フローティング・ゲートとそれぞれの選択ゲート(ワード線)との間に生じる電位差は、中間に介在する誘電体を通って電子がトンネル移動する原因となる。消去についての詳細は、アメリカ合衆国特許番号5,270,979に記載されている。この文献は参照によって本明細書に組み込まれる。
図5の表の(3)の行と(4)の行は、メモリ・セルの2つのフローティング・ゲートの状態を読み取るための電圧の例を示す。(3)の行は左側のフローティング・ゲートに対するものであり、(4)の行は右側のフローティング・ゲートに対するものである。どちらの場合も、選択ゲートの電圧が、そのセルの選択トランジスタをオンに切換えるのに十分な電圧VSRまで引き上げられて、そのチャネルを通して電流を流すことが可能となることにより、セルが有効化される。この電圧は通常、選択トランジスタのしきい電圧より1V高い。
図5の表の(3)の行と(4)の行に示すように、一方のフローティング・ゲートの電圧状態を読み取る場合には、その読み取られるフローティング・ゲートの上方のステアリング・ゲートには電圧VMが印加され、他方のフローティング・ゲートの上方のステアリング・ゲートは電圧VBRまで引き上げられる。選択されていないフローティング・ゲートのプログラミングされた状態にかかわらず、電圧VBRはその選択されていないフローティング・ゲートの下方のセルのチャネル部が十分に導通するように、十分に高い電圧(例えば8V)とされる。選択されたフローティング・ゲートの状態を読み取るために、電圧VMは読み取り工程の間は複数の電圧(後述する)を段階的に経る。その電圧値は、セル電流が所定のしきい電圧を通過する時に、回路13の内部のセンス・アンプによって検知される。
図5の表の(5)の行と(6)の行には、二重フローティング・ゲート・セルの一方のフローティング・ゲートをプログラミングするための電圧の例が示されている。動作させるセルを選択するために、選択ゲートが、そのセルの選択トランジスタをオンに切換えるために必要な電圧まで引き上げられる。ソース側注入のプログラミング速度を最適化するために、電圧VSPは読み取りの間に使用される電圧VSRとは異なるものとしてよい。例えば、選択トランジスタのしきい電圧が1Vの場合、VSP= 2.2V である。プログラミングされるように選択されたフローティング・ゲートとセルの同じ側にあるビット線の拡散領域は、プログラミング動作の間、最大ビット線電圧(例えば5V)まで引き上げられる。この電圧は、フローティング・ゲート・チャネルと選択ゲート・チャネルの間の隙間を横切って十分な電界を発生させて、ソース側のホット・エレクトロン・プログラミングを得るために必要な大きさにされる。選択されていないフローティング・ゲートとセルの同じ側にあるビット線の拡散領域は、プログラミングの間、0Vまたはその近傍にバイアスされている。
選択されていないフローティング・ゲートの上方のステアリング・ゲートは、そのフローティング・ゲートの下方のチャネル領域を十分に導通させるために必要な電圧VBP(例えば、VBP= 8 V)まで引き上げられる。これは、目標とするフローティング・ゲートのプログラミングの妨げとならないようにするためである。これは、選択されていないフローティング・ゲートに、どれだけのフローティング・ゲート電圧が存在しているかにかかわらず、そのフローティング・ゲート電圧のプログラム・ウィンドウの範囲内で行われる。ホット・エレクトロン・プログラミングのために、その選択されたフローティング・ゲートをその下方のチャネルにおいて望ましい電界コンディションを作り出すことを助けるような電圧まで駆動するレベルで、その選択されたフローティング・ゲートの上方のステアリング・ゲートに、電圧VPが印加される。例えば、電圧VPは5〜12Vの範囲内でよい。この電圧は、プログラミング動作の間に変化することがある。通常は、まず消去されたセルに適切なプログラミング電圧のセットが印加され、続いて、適切な読み取り電圧のセットが印加される。そして、読み取り工程が、選択されたフローティング・ゲートが望ましい電圧状態にプログラミングされていると指摘しない場合、以前の電圧のセットとは部分的に異なるかも知れないプログラミング電圧が再び印加される。ここで、望ましい電圧状態は、バイナリ・ストレージのプログラミング状態であってもよいし、複数レベル・ストレージの可変ストレージ状態であってもよい。
図5の表の(7)の行は、プログラミングするために選択された行の内部にあって、それ自体はプログラミングされないセルに印加される電圧を示す。例えば、アレイのセグメント化された部分の1つの行の内部で同時にプログラミングされる複数のセルは、プログラミングされない他のセルと、その行に沿って交互に配置されている。これらのプログラミングされないセルが、図5の表の(7)の行に示す電圧を受ける。相対するビット線の拡散領域は、チャネルにおいて電流が流れないように、同じ電圧(例えば、両方とも0V、あるいは両方とも5V)に保たれる。(1)の行で用いている表記と同じように、「X」はこれらのセルのステアリング・ゲートの電圧が、問題とならないことを示している。
メモリ・アレイが基板へ消去されるように設計されている場合には、(2)の行の消去電圧コンディションの代わりに、(8)の行の消去電圧コンディションが適用される。消去されるべきセル群のブロックを含むpウェルと、そのpウェルの周囲のnウェルはは、両方とも消去電圧VEまで引き上げられ、その範囲は例えば10V〜25V(例えば20Vが好ましい)である。このようなセルの読み取りおよびプログラミングの間は、これらのウェルは接地されている。消去の間は、選択ゲートの誘電体を横切って印加される電圧を低減するために、選択ゲートに正の電圧VSEが印加されるのが好ましい。なぜなら、基板と選択ゲートの間の過剰な電位差は、誘電体を損傷してしまうか、あるいはセルの動作に対して望ましい厚さ以上に誘電体を厚くする原因となるからである。このような電圧は、選択ゲートから消去しようとする隣接するフローティング・ゲートへ部分的に結合するので、それほど高くすることができず、さもなければ、消去を成し遂げるために高くされているそのフローティング・ゲートとその基板のチャネルとの間の電位差を、極端に低くしてしまう。電圧VSEの範囲は、例えば3〜12Vであり、電圧VEのレベルに依存する。VE = 20 Vの場合には、VSE = 10 Vであることが好ましい。
図5において提示した数値は、1つの例である。当業者は、メモリ・システムの動作のために、適切な他の数値および方法を用いることができる。
(4.トラッキング・セル)
上述したように、フローティング・ゲートは、複数のレベル、すなわち複数の電荷の範囲を保持することができ、従って複数の状態を提供することができる。1つの実施形態では、フローティング・ゲートは8つの目標とする電荷の範囲を保持し、従って8つの状態を提供する。このようなフローティング・ゲートは、3ビットのデータを保持する。図6は、8つの状態を保持できるフローティング・ゲートの典型的な状態空間を図示している。図6は8つの物理状態P0、P1、P2、P3、P4、P5、P6、およびP7を示す。例示を目的として、図6の縦軸はしきい電圧をミリボルト単位で示している。しかしながら、実装形態によっては、他の単位が用いられてもよい。それぞれの物理状態に対して、図6はしきい電圧レベルの範囲または分布を釣鐘曲線で描く。通常、釣鐘曲線の頂部(すなわち、最も右側の点)が、その状態の中心である。例えば、状態P1の中心は1000mVにあり、下側の境界は800mVに、上側の境界は1200mVにある。状態間にある領域は、状態から状態へのスレッショルド・マージンである。隣り合った状態間のそれぞれに1つずつ、合計で7つのスレッショルド・マージンがある。隣り合った状態P1とP2の間には、スレッショルド・マージンがある。図6では、状態P1〜P7は正の電圧であり、状態P0は負の電圧を含んでいる。他の実施形態では、より多くの、あるいはより少ない数の状態が、正または負であってもよい。さらに、様々な実施形態では、それぞれのメモリ・アレイに特有の特徴や条件に応じて、電圧範囲は0〜4900mVとは異なっていてもよい。
8つの状態を保持するフローティング・ゲートは、3ビットのデータを保持することができる。従って、8つの論理状態が存在する。一実施形態では、フローティング・ゲートのしきい電圧が隣の物理状態に誤ってシフトしてしまった場合に影響を受けるビットを1ビットのみに抑えるために、これらの論理状態はグレイ・コード割り当てを用いて、物理状態に割り当てられる。図7の表は、バイナリ・データを論理状態に割り当てるグレイ・コードの割り当ての例を示す。他の実施形態では、グレイ・コード以外の割り当ても用いることができる。各論理状態は一つの物理状態に割り当てられる。しかしながら、論理状態の物理状態への割り当ては巡回可能である。図8の表は、論理状態の物理状態への割り当てについての、8つの異なるローテーション・スキームを示す。例えば、ローテーション0においては、物理状態P0は論理状態L0(例えば、バイナリ・データの000)を保持し、物理状態P1は論理状態L1(例えば、バイナリ・データの001)を保持し、・・・などと続く。ローテーション1では、物理状態P0は論理状態L7(例えば、バイナリ・データの100)を保持し、物理状態P1は論理状態L0(例えば、バイナリ・データの000)を保持し、・・・などと続く。このように、プログラミングをする際には、8つのローテーション・スキームが使用可能である。本発明の一実施形態では、1つのセクタがプログラミングされるたび、そのセクタはまず消去され、そして8つのローテーション・スキームのうちの1つを用いてプログラミングされる。それに続くプログラミング/消去サイクルにおいては、そのセクタは異なるローテーション・スキームを用いてプログラミングされるであろう。一実施形態では、メモリ・デバイスはそれぞれのローテーション・スキームを順番に巡回する。他の実施形態では、メモリ・デバイスはそれぞれのプログラミング・サイクルに対して、ランダムに(あるいは擬似ランダムに)ローテーション・スキームを選択するであろう。データを巡回することによって、セクタにプログラミングされる実際のデータ・パターンとは無関係に、そのセクタの全てのセルについて、プログラミング/消去サイクルの繰り返しを通して、均一なストレス・コンディションを維持することが促進される。データの巡回に関して、一実施形態では、任意の消去ブロックの全てのセクタは履歴を一致させながら動作するため、同一の消去後のローテーションをそれら全てのセクタに伝播させることが可能である点に留意されたい。さらに、内部セクタの消耗の均等化が不十分な場合には、何らかの手法による強制的にプログラミングされた内部セクタの消耗の均等化(例えば、強制レベルや論理データ・パターンの臨時的なプログラミング)が必要となるかもしれない点にも留意すべきである。
一実施形態では、メモリ・アレイ11は複数のセクタに分割される。セクタがどのように分割されるかについての一実施形態を図9に図示する。そのセクタは、ユーザー・データ250とECCデータ252を含む。そのセクタは通常、一つのワード線上のセル群のサブセットからなり、512バイトのユーザー・データを保持することができる。本発明では、セクタについての他の定義も用いることができる。そのセクタはさらに、トラッキング・セル254とヘッダー情報256(ユーザーによって直接決定はされない)を含む。ヘッダー情報は、アドレス情報、ビットおよび/またはセクタ・マッピングに関する情報およびセクタへの書き込み回数を含む。他の情報についても、ヘッダーに保持することができる。トラッキング・セルの例は、アメリカ合衆国特許番号5,172,338、番号6,222,762、および番号6,538,922に記載されている。これらの文献は全て、全体を参照することによって本明細書に組み込まれる。トラッキング・セルは、フローティング・ゲートにおける電荷の様々な状態のそれぞれに対し、最適な識別ポイントを確実に定めるために用いられる。図9に示す実施形態では、セクタは24のトラッキング・セルを含む。そのトラッキング・セルはそれぞれペアにグループ分けされ、3つのペアが1つのセットにグループ分けされる。一実施形態では、各ペアは同じセクタ内にあるフローティング・ゲートのペアを含む。ここで、そのフローティング・ゲートは図2〜4に図示されたものである。図9は、トラッキング・セルの4つのセット:セット260、セット262、セット264、およびセット266を示している。一実施形態では、これらのセットは同一の情報の冗長コピーである。
一実施形態では、トラッキング・セルは2つの状態のどちらかでしかデータを保持しない。例えば、図9はそれぞれのトラッキング・セルが物理状態P1あるいは物理状態P6のどちらかでデータを保持している様子を示す。他の実施形態では、他の状態が使用されてもよい。多くの実施形態では、全ての状態は使用されない。例えば、図6は、8つの状態を備えるメモリ状態空間を示す。従って、本発明はトラッキング・セルに対して8つ未満の状態を用いる。状態群のサブセットを用いる1つの利点は、使用されている状態群(トラッキング・セル状態群)を、1またはそれ以上の状態をそれらの間に挟んで分離できることにある。状態P1とP6を選択した理由は、異なる動作環境や動作環境に起因して異なるしきい電圧の分布統計を持つかも知れない2つの端の状態(状態P0と状態P7)を除いて、状態P1と状態P6はしきい電圧レベルが最大限に離れており、最も大きな基準を与えることができるからである。例えば状態P0は、データのプログラミングにおける統計とは反対の、消去動作における統計に従うかも知れない。最も頻繁にプログラミングされる状態(状態P7)の範囲が広がると、中間の状態P1〜P6に対しての分布の違い/オフセットを招くことになるかもしれない。図6は、しきい電圧の分布を釣鐘曲線で示す。対応するトラッキング・セルの分布は、より幅が狭い釣鐘曲線200と202で示されている。P1とP6を分離する大きなしきい電圧の差のおかげで、データ部がひどく損傷していない限り、多くのトラッキング・セルで検知を誤る(すなわち、低いしきい電圧の範囲と高いしきい電圧の範囲が入れ替る)可能性は、極めて低いであろう。
状態の数が8つではない実施形態では、トラッキング・セルは上記とは異なる状態を用いてデータを保持してよい。例えば、4つの状態(例えば、P0、P1、P2、およびP3)を備えるデバイスにおいては、トラッキング・セルは状態P1とP3でデータを保持してよい。16の状態(P0、P1、P2、...P14、P15)を備えるデバイスにおいては、トラッキング・セルは状態P1とP14でデータを保持してよい。上記の状態の代わりに、あるいは上記の状態に加えて、他の状態を使用してもよい。
1つ思い浮かぶ質問は、対応するトラッキング・セルが、データ・ストリームのどこに配置されるべきかである。それらを後端に配置すれば、継続的にシフト・アウトする必要がなくなり、読み取りの間の時間をわずかに短縮できるかもしれないが、トラッキング情報を必要な時に読み取るため、セクタ全体のデータをシフト・アウトしなければならない可能性もある。このことはさらに、セクタの局所的な範囲にトラッキング・セルを物理的に集めてしまい、局所的な変化に対して脆弱にしてしまう傾向がある。トラッキング・セルをセクタの全体にわたって物理的に分散して配置することは、セクタの内部での局所的な変化に対向する能力を向上するが、その管理と使用は複雑かつ面倒である。トラッキング・セルを前端に配置することは、後端に配置する場合と同様、トラッキング・セルを物理的に一箇所に集めてしまう傾向があり、さらにトラッキング・セルは全ての読み取り動作において、それが必要であろうとなかろうと、読み取り経路に含まれてしまう。しかしながら、一実施形態では、トラッキング・セルは、データの巡回状態を符号化するためにも用いられる。データの巡回を符号化するためにトラッキング・セルを使用する場合は、トラッキング・セルは、各読み取り処理の間に読み取られるべきである。従って、図9に示すように、トラッキング・セルをデータ・ストリームの前端に配置することは、道理にかなっている。ここで、セクタの内部でこれらのトラッキング・セルを物理的且つ均等に分散配置するとともに、先端でのトラッキング・セルの読み取りを提供することは、そのような実施形態を反映するようにアレイの復号化処理を構築することで可能となる点に留意されたい。
各トラッキング・セルは、P1あるいはP6の状態でデータを保持している。すなわち、しきい電圧レベルはP1かP6のいずれかに設定される。隣接するセル同士(例えば、一実施形態では隣接するフローティング・ゲート同士)でペアを組む場合、ペアの一方は状態P1に、ペアの他方は状態P6になるように、プログラミングされる。従って、そのペアは16あるいは61の2つの配置の何れかを取りうる。ペアが16の場合、そのペアは論理0と見なされる。ペアが61の場合、そのペアは論理1と見なされる。従って、トラッキング・セルのペアは、1ビットの巡回符号化データ(0あるいは1)を形成するのに使用される。3つのペアのセットが、8つの可能なローテーション・スキームのうちの1つを示すのに適した3ビットの巡回符号化データを形成する。例えば、セット260は3ビットの巡回符号化データを含む。セット260の第1ビットは16、すなわち論理0である。セット260の第2ビットは61、すなわち論理1である。そして、セット260の第3ビットは16、すなわち論理0である。従って、セット260は符号010(10進値では2、すなわちローテーション2)である。他の実施形態では、8つ以上あるいは8つ以下のローテーションが使用可能なので、ローテーション・スキームを識別する符号は、3ビット以上あるいは3ビット以下(例えば、2ビットや5ビットなど)のビット数と、6つ以上あるいは6つ以下のトラッキング・セルおよび/またはフローティング・ゲートで形成できる。一実施形態では、フローティング・ゲートは16のレベル/状態を保持することが可能であり、4つ(あるいは他のビット数)の巡回ビットが使用される。一実施形態では、それぞれのセットは互いに冗長である。この冗長性を利用して、個々のトラッキング・セルのエラーを修復することができる。従って、セット262、264、および266は、全て010を保持する。ローテーション・スキームの符号を識別するには3ビット全て(および付随する6つのセル)が必要なので、特定のセット内の3つのビットおよび6つのトラッキング・セルは、互いに冗長ではない。
各セットに保持された3ビットは、特定のローテーション・スキームを示す符号を表現している。上述したように、図8は本発明の一実施形態における8つの異なるローテーション・スキームを示している。図10は、セット260、262、264、および266の内部に保持されている様々な符号が、どのように巡回状態に割り当てられているのかを示している。従って、161616のデータ・パターンはローテーション0に対応し、161661はローテーション1に対応し、166116はローテーション2に対応し、166161はローテーション3に対応し、611616はローテーション4に対応し、611661はローテーション5に対応し、616116はローテーション6に対応し、616161はローテーション7に対応する。
図11は、トラッキング・セルのプログラミングを含む、セクタのセルをプログラミングする処理を説明するフローチャートである。個々のセルをプログラミングする技術は上述したとおりである。図11はデバイス・レベルでの処理である。ステップ342では、ユーザー・データが受信される。すなわち、制御装置がホスト・システムからデータを受信する。別の場合には、スクラビングや、消耗の均等化や、ガベージ・コレクションなどの内部的な必要性によって、書き込まれるべきデータを内部で生成することもできる。ステップ344では、制御装置がどのローテーション・スキームを使用するかを特定する。上述したように、ローテーション・スキームは、順番に、ランダムに、疑似ランダムに、あるいは他の適当な手法によっても選択することができる。ステップ346では、選択されたローテーション・スキームに関連付けられた適切な物理状態が、それぞれのトラッキング・セルに対して特定される。すなわち、巡回符号(図10を参照)に基いて、適切なデータ・パターンがトラッキング・セルのセットに割り当てられる。一実施形態では、トラッキング・セルは巡回されない。ステップ348では、制御装置がプログラミングされる各データ値に対する物理状態を特定する。すなわち、図8の表を利用して、各データ値に対する各論理状態は、特定のメモリ・セルの物理状態に割り当てられる。幾つかの実施形態では、データがメモリに送信されると同時に、そのデータに対する物理状態が動的に計算される。
ステップ350では、制御装置から「データ・ロード」の命令が出される。ステップ352では、制御装置から適切なデコーダにアドレス・データが提供される。ステップ354では、ワード線とビット線を適切にバイアスし、選択されたビット線、ワード線、およびステアリング・ゲートを確立するためにデータとアドレスがラッチされ、プログラム・データがメモリ・アレイに入力される。ステップ356では、「プログラミング」の命令が制御装置から出される。
ステップ360では、プログラミング動作が実行される。多くの実施形態では、プログラミング電圧は多数のパルスに分割される。パルスの振幅は、それぞれのパルスごとに所定のステップ・サイズ分だけ増加する。パルスとパルスの間の期間において、検査動作が実行される。すなわち、各プログラミング・パルスの間に、並列にプログラミングされている各セルのプログラミング・レベルが読み取られ、そのデータに関連する検査レベルまで少なくとも達しているか否かを判断する。例えば、しきい電圧が2.5Vまで引き上げられている場合、検査処理はしきい電圧が少なくとも2.5Vであるか否かを判断する。一度ある任意のメモリ・セルのしきい電圧が検査レベルまで到達あるいは検査レベルを超えた判断されると、そのセルからプログラミング電圧が取り除かれて、さらなるプログラミングを終了させる。他のセルに並列に書き込まれているプログラミングは、それぞれがそれぞれの検査レベルに到達するまで持続し、到達した時点でプログラミングは終了する。
図11のステップ358では、プログラミング電圧(Vpgm)が開始パルス・コンディションに初期化され、プログラム・カウンターPCが0に初期化される。ステップ360では、最初のVpgmパルスが印加される。ステップ362では、選択されたメモリ・セルのしきいレベルが検査される。選択されたセルの目的とするしきい電圧が、関連するデータから特定される適切なレベルにまで到達したと判断された場合、そのセルへのさらなるプログラミングは禁止される。しきい電圧がまだ適切なレベルに到達していないと判断された場合、そのセルへのプログラミングは禁止されない。従って、全検査状態(ステップ364)が全てのセルが適切なしきいレベルに到達したと指摘した場合には、「合格」という状態がステップ366で報告される。全てのセルが適切なしきい電圧に到達したと検査されていない場合、ステップ368でプログラム・カウンターがプログラム制限値と比較される。プログラム制限値の一例は20である。プログラム・カウンターが20以上であれば、プログラム処理は失敗に終わり、ステップ370で「不合格」という状態が報告される。プログラム・カウンターが20未満であれば、ステップ372で、プログラム電圧レベルがステップ・サイズ分だけ増大され、プログラム・カウンターが増加される。ステップ372の後、処理はステップ360に戻り、次のプログラミング電圧パルスを印加する。
一度プログラミングがなされると、アレイ11のメモリ・セルは図12の処理によって読み取ることができる。ステップ400では、標準の比較点を用いた読み取り動作が開始する。比較点とは、2つの電圧しきい状態の中間に設定され、そのレベルを超える状態とそのレベルに満たない状態とを区別するために用いられる、電圧しきいレベルのことである。例えば図6では、700mV、1300mV、1900mV、2500mV、3100mV、3700mV、および4300mVにおける灰色の太線として、複数の比較点を示している。加えて、下記に詳しく説明するように、トラッキング・セルの処理後におけるデータの品質が低い場合、マルチ・ビット訂正を強制的に試みるか否かを指示することもできる。これは、ホストまたは制御装置によって指示されてもよいし、メモリ・システムにプログラムされてもよい。
図12のステップ402では、ローカル・アドレスが特定される。すなわち、制御装置が、読み取られるデータの論理アドレス(あるいはアドレス群)を受信またはアクセスする。これらのアドレスは、特定のメモリ・アレイまたはメモリ・アレイ群における物理的なアドレスに変換される。ステップ404では、ブール変数のTrackingDoneが「偽」に設定される。ステップ418では、トラッキング・セルが読み取られる。トラッキング・セルの読み取りについては、後に詳述する。ステップ420では、ローテーション・スキームが計算され、ステップ422では、関連する品質ゲージが特定(あるいは更新)される。ローテーション・スキームの計算、および品質ゲージの特定/更新については、後に詳述する。ステップ424では、品質ゲージ(後述する)に基いて、データが高品質であるか低品質であるかを判断する。品質ゲージが低品質のデータであると指摘した場合、処理はステップ470(後述する)に進む。品質ゲージが高品質のデータであると指摘した場合、ステップ426で、計算されたローテーションを用いて物理的に読み取られるデータから論理データを確立して、ユーザー・データとエラー訂正符号が読み取られる。
すなわち、ステップ420で特定されたローテーション・スキームに基いて、ユーザー・データが復号化される。従来から知られている標準的な方法によれば、制御装置はデータの読み取り処理のためにECCシンドロームを生成する。ステップ428では、これらのECCシンドロームが解析されて、データに何らかのエラーがあるか否かを判断する。ECCが何もエラーを発見しなかった場合(ステップ430)、読み取り処理は成功して終了する。ホストから要求があった場合には、読み取られたデータが制御装置からホストに報告される。ECC処理がエラーを発見した場合(ステップ430)、ステップ440で制御装置は1ビット訂正処理を実行する。すなわち、当業者に知られている方法を用いて、誤っているデータの1ビットを訂正するためにECCが使用される。1ビット訂正処理が成功した場合(ステップ442)、訂正されたデータは付加的に(オプション・ビットで設定することにより)再書き込みのために待ち行列に入れられる。この時点で読み取り処理は成功と見なされ、(必要であれば)ホストにデータが報告され、訂正されたデータは付加的にメモリ・アレイに再度書き込まれる。データの読み取りにECCを用いることは、この技術分野では従来から知られていることに留意すべきである。本発明は、この分野で知られている多くのECCスキームとともに実施することができる。
(例えば複数のエラーが存在しているため)1ビット訂正処理が成功しなかった場合は、ステップ450においてブール変数TrackingDoneをテストすることにより、トラッキング・セル処理が行われたか否かが判断される。変数TrackingDoneが「真」に設定されていれば(トラッキング・セル処理が完了したことを意味し)、処理はステップ452で複数ビット訂正処理を試みる。本発明は、この分野で知られている複数ビット訂正処理とともに実施することができる。複数ビット訂正処理が成功した場合(ステップ454)、訂正されたデータは再書き込みのために待ち行列に入れられ、読み取り処理は成功と見なされ、読み取られた(および訂正された)データは、(必要であれば)ホストに返信される。複数ビット訂正処理が成功しなかった場合(ステップ454)、読み取り処理は失敗と見なされ、状況に応じて処置が施される(例えば、ホストがそのデータを待っている場合、ホストはエラー・メッセージを受信する)。
ステップ450において、(ブール変数TrackingDoneが「偽」に設定されていたため)トラッキング・セル処理が行われなかったと判断された場合は、制御装置がステップ460でトラッキング・セル処理を実行する。トラッキング・セル処理は、トラッキング・セルを処理して、新たな比較点のセットを特定することを含む。ステップ460の詳細は図15に関連して後述する。ステップ462では、現在の比較点がステップ460の結果に基いて調整され、ステップ464でブール変数TrackingDoneが「真」に設定される。ステップ464の後、処理はステップ418に戻り、システムは、新たな比較点を用いてトラッキング・セルとデータ・セルをもう一度読み取ろうとする。新たな比較点は、一時的あるいは恒久的に使用できるということに留意すべきである。さらに、新たな比較点は、その時点で考慮されているセクタのみで、あるいは、その時点で考慮されているセクタと同じように他のセクタでも使用できる。例えば、一実施形態では、セクタのセットが1つのグループ(例えば1つのファイル)として扱われ、その中の1つのセクタの比較点が調整された場合に、そのグループの全てのセクタの比較点も調整される。
ステップ424で、品質ゲージが低品質のデータであると指摘した場合、処理はステップ470に進む。ステップ470では、ブール変数TrackingDoneをテストすることにより、トラッキング・セル処理が行われたか否かが判断される。TrackingDoneが「真」に設定されている場合、読み取り処理は失敗する。TrackingDoneが「偽」に設定されている場合、ステップ460でトラッキング・セル処理が実行される。さらに、ステップ470では、変数をセットして、ステップ452の複数ビット訂正処理を強制的に行わせることができる。
図12のステップ418は、トラッキング・セルを読み取ることを含む。そのようにするためには、図6に関して説明したように、適切なステアリング・ゲートの電圧レベルが7つの比較点を段階的に経て、7つの読み取り動作が実行されるようにする。それぞれの読み取り動作において、トラッキング・セルをそれぞれの比較点でテストするために、ステアリング・ゲートの電圧は段階的に異なるレベルとされる。それぞれの比較点では、特定のトラッキング・セルがオンに切換えられたか、オフのまま保たれているのかが判断される。すなわち、電流が流れたか否かを判断する。7つの読み取り動作の最後に、読み取り動作からのデータは、制御装置にシフトされる。そして、制御装置はそのデータを図13の表に従って変換する。図13の表は、7つの読み取り動作のそれぞれから得られたデータに基いて、特定のメモリ・セルがどの状態にあるのかを示している。例えば、7つの読み取り動作の全てにおいてメモリ・セルがオンであった場合、そのメモリ・セルは物理状態P0にある。メモリ・セルが最初の読み取り動作の間はオフであり、残りの6つの読み取り動作の間はオンである場合、そのメモリ・セルは状態P1にある。メモリ・セルが最初の2つの読み取り動作の間はオフであり、残りの読み取り動作の間はオンである場合、そのメモリ・セルは状態P2にある。案の定、何のエラーもない場合には、各トラッキング・セルは、もともと書き込まれている状態である、状態P1あるいはP6の何れかである。他の実施形態では、他の状態を使用することができる。上述の読み取り処理は、電圧検知を利用していることに留意すべきである。しかしながら、電流検知あるいは他の読み取り(あるいは検知)方法もまた、本発明の範囲内にあることが理解される。
図14は(図12のステップ420の)ローテーション・スキームを計算する処理の一実施形態を説明するフローチャートである。ステップ520では、制御装置がトラッキング・セルのペア(一実施形態では、フローティング・ゲートのペア。例えば、図3〜4を参照)からのデータにアクセスする。ステップ522では、トラッキング・セルのペアの一方が状態P1で、他方が状態P6であるか否かが判断される。もしそうであれば、図10に関連して記載したように、ステップ524でこれら2つのトラッキング・セルに対するビットが適切に設定される。すなわち、第1トラッキング・セルが状態P1で、第2トラッキング・セルが状態P6の場合、対応するローテーション・ビットは0に設定される。その代わりに、第1トラッキング・セルが状態P6で、第2トラッキング・セルが状態P1の場合、ローテーション・ビットは論理1に設定される。ステップ526では、処理すべきセルのペアがほかに存在するか否かが判断される。処理すべきペアがまだ残っている場合、この方法はステップ520に戻って処理を繰り返す。一実施形態では、セル群の状態がP1とP6であると判断を行う際に(ステップ522を参照)、システムは状態P1または状態P6以外の状態を扱ってもよいことに留意すべきである。例えば、状態P0、P1、P2、およびP3(あるいはこれらの状態群のサブセット)が状態P1として扱われ、状態P4、P5、P6、およびP7(あるいはこれらの状態群のサブセット)が状態P6として扱われてよい。
ステップ522で、セルのペアの一方が状態P1、他方が状態P6とはなっていないと判断された場合、ステップ530でその2つのセルが互いに異なる状態にあるか否かが判断される。2つのセルが同一の状態にある場合、エラーが存在しており、ステップ532でそのエラーが記録される。ステップ532は、この特定の比較について詳細なデータを保持することの後に、順次的なエラー対策にデータを加えることを含むことができる。ステップ532で保持されるデータは、品質ゲージに使用される。2つのセルが互いに異なる状態にある場合、ステップ534で、制御装置は低い方のしきい電圧を持つセルに状態P1を割り当て(ステップ534)、高い方のしきい電圧を持つセルに状態P6を割り当てる(ステップ536)。ステップ538では、そのエラーが記録され、動作はステップ524に戻る。ステップ538は、状態P1または状態P6ではなかったセルの数、および/またはこれらの特定のセルが状態P1および/またはP6からはずれている差分を記録することを含むことができる。
全てのペアが処理されたら(ステップ526)、その時点でシステムは12のペアを処理しており、従って、システムは12ビットのデータを備えている。その12ビットのデータは、図9に示すように4つのデータ・セットにグループ分けされる。ステップ550では、各セットの3ビットを図10の表と比較することにより、各セットのローテーションが特定される。ローテーションは、各セットにおいて別々に特定される。そして、セット同士が比較される。4つ全てのセットが同じ巡回符号を備えている場合(ステップ552)、ステップ554でその巡回符号が保持される。4つのセットが一致しない場合、ステップ556でその問題が解決され、そのエラー情報がステップ558で保持された後に、解決された巡回符号がステップ554で保持される。
この不一致を解決する方法は多数ある。一つの方法は、投票を行って多数決をとることである。例えば、4つのセットのうち3つが1つの特定の値の符号と判断され、第4のセットのみが異なる特定の値の符号と判断される場合、その3つのセットによって選択された符号を採用して、不一致が解決される。あるいは、各ビットごとに一致しているか否かを比較し、ビットごとに投票を行う方法もある。例えば、最初の2ビットについては4つの全てのセットにおいて同一であり、第3のビットがそれらのセットのうちの1つで異なる場合には、その第3のビットは共通の値を持つ3つのセットによって判断された値とする。あるいは、これをセルごとに行って、5つのセルが全てのセットにおいて同一であり、第6のセルがそれらのセットのうちの1つで異なる場合には、その異なるセルについては無視するという方法もある。
ローテーションを特定する他の1つの実施形態では、物理状態P1とP6の中間の比較点を用いて各トラッキング・セルを1度だけ読み取る。オンに切替わる全てのセルは状態P1にあり、オフのまま維持される全てのセルの状態はP6であると仮定する。ローテーション・ペアの両方のセルが同じ状態と演算された場合、システムは、一方のセルがオンであり他方のセルがオフである比較点を見つけるまで、詳細な分解能で、間にあるいくつもの比較点についての演算を順次行っていく。
図14は、エラーが記録されるボックス532、538、および558を示す。このエラーは、品質ゲージを形成するのに用いられる。ステップ532、538、および558で記録されるデータに基づき、品質ゲージは図12のステップ422で特定される。一実施形態では、品質ゲージはエラーの数や、しきいを超えたエラー量などを測定する。例えば、一実施形態では、品質ゲージは、状態がP1またはP6ではないトラッキング・セルの数の指標を保持してもよいし、あるいは品質ゲージは、状態がP1またはP6から異なるそれらのセルの平均値もしくは累積値を保持してもよい。あるいは、品質ゲージは複数の値を保持してもよく、例えば状態がP1またはP6ではないトラッキング・セルに対して、P1およびP6からどれだけ離れているかを各セルについて保持してもよい。エラーに関する他のデータや測定値が品質ゲージに反映されてもよい。他の1つの実施形態では、品質ゲージはエラーの数や大きさに基いて予め設定された幾つかの状態のうちの一つに設定される。測定されたデータに依存して、システムは品質ゲージを用いて、例えばしきい値に満たないとか、しきい値を超えるとか、あるいは規定や特性を満たすなど、品質ゲージが所定の基準に適合する場合に、その動作を変更することができる。
幅広いシフトやデータの状態/範囲が存在していてもローテーションを特定することは可能であるが、トラッキング・セルから読み取られる実際の状態は、目標とする状態P1およびP6から大幅に外れていることがある。幾つのセルが目標から異なっているか特定することによって、品質ゲージの一実施形態が構築される。この品質ゲージが所定のしきいレベル(もしくはしきいレベルの連続的なセット)を超えた場合、その品質ゲージに応じて警告状態(あるいは警告状態の連続的なセット)が生じるかもしれない。一実施形態では、メモリ・デバイスは、警告状態あるいはエラー・ゲージに対して反応しない(例えば、全く同じ動作で読み取り処理を続ける)。他の実施形態では、メモリ・デバイスは警告状態に反応する。例えば、処理は即座にトラッキング・セル処理(図12のステップ424)に移行して、比較点を調整して読み取り処理を再開することができる。あるいは、読み取り処理の全体を強制終了する(例えば、ステップ470を経由して終了/失敗ブロックに進む)ことができる。他の実施形態では、ECCパラメータを変えることができる。例えばシステムは、品質ゲージがしきい値を超えている場合には、マルチ・ビット訂正は行わないことを選択する。警告状態を生起する基準の一例は、状態P1またはP6のいずれでもないトラッキング・セルの数が1を越えるか否かである。この場合、1つのランダムな(例えばランダム・ノイズによる)不具合ビットが見過ごされてしまう。しかしながら、2つのランダムで無相関なエラーが生起する可能性は極めて低いため、2つ以上の不具合についてはフラグされ、セクタの内部に存在するより広範な不具合状態を指し示す。他の実施形態では、状態がP1またはP6のいずれでもないトラッキング・セルが2つ(あるいは他の数)であることを基準としてもよい。
図15は、トラッキング・セル処理(図12のステップ460と462を参照)を実行する処理の一実施形態を表すフローチャートである。ステップ600では、物理状態P1に関連する所定の範囲内の各しきい電圧について、制御装置は各トラッキング・セルにおける読み取り動作を行わせる。例えば、図6は、状態P1に関連しているしきい電圧210を示す。この15の電圧は、状態P1の期待される範囲内のしきい電圧と、その範囲に満たないしきい電圧と、その範囲を超えるしきい電圧を含む。より多くのしきい電圧をテストしてより細かい分解能とすることもできるし、時間を節約するためにより少ないしきい電圧をテストしてより粗い分解能とすることもできる。他の実施形態では、図6に示す分解能で、範囲を増減することができる。一実施形態では、これらのしきい電圧のそれぞれについて、全てのトラッキング・セルが読み取り動作を備える。他の1つの実施形態では、これらのトラッキング・セルのうち状態P1であると思われるもののみが、状態P1に関連するしきい電圧についての読み取り動作を備える。例えば、状態P1であると思われる各トラッキング・セルにおいて、15の読み取り動作が実行される。他の1つの実施形態では、全てのトラッキング・セルがこれらのしきい電圧のそれぞれについての読み取り動作を備えるが、状態P1ではないと思われるトラッキング・セルは読み取り動作の結果を破棄する。ステップ602では、物理状態P6に関連する範囲内のしきい電圧のそれぞれについて、制御装置はそれぞれのトラッキング・セルにおける読み取り動作を行わせる。例えば図6は、状態P6に関連している範囲内の15のしきい電圧212を示す。状態P1について上述した代替的な実施形態と同様のものが、状態P6にも適用される。例えば、16以上のしきい電圧を用いてより細かい分解能を実現することもできるし、14以下のしきい電圧を用いてより粗い分解能とすることもできる。一実施形態では、状態P1の場合と同様に、ステップ602で全てのトラッキング・セルに15の読み取り動作が実行される。他の実施形態では、これらのトラッキング・セルのうち状態P6であると思われるもののみが、ステップ602で読み取られる。
図15のステップ604では、ステップ600および602の読み取り動作に基いて、制御装置が各トラッキング・セルの実際のしきい電圧を特定する。制御装置は、セルがオンに切替わった最初のしきい電圧を探す。ステップ604の最後までには、制御装置は24のトラッキング・セルのそれぞれに対応する、24のしきい電圧を特定する。ステップ606では、制御装置は状態P1の代表的なしきい電圧を特定する。ステップ608では、制御装置は状態P6の代表的なしきい電圧を特定する。2つの状態のそれぞれの代表的なしきい電圧は、周知の様々な数学的手段を用いて計算できる。例えば、各状態の分布を構築してその平均値を求めることができる。あるいは、直線回帰を使用することもできる。簡単にするために、単にそれぞれの分布の平均値を求めてもよい。あるいは、外れ値を除いた単純な平均値を計算してもよい。外れ値は、フィルタリング処理によって、もしくは(例えば状態P1とP6に対する)2つの分布のそれぞれのしきい電圧の最大値と最小値を除去することによって、排除することができる。
ステップ610では、2つの代表的なしきい電圧に基いて、新たな7つの比較点が生成される。これらの比較点は、最適な比較点の最良推定値が得られるように、内挿法および外挿法を用いることで、確立することができる。比較点を特定する手段は多数ある。一実施例では、制御装置は状態P1とP6の代表的なしきい電圧の増加分を特定し、その二つの値を平均して平均増加分を求め、現在の比較点をその平均増加分だけ引き上げる。例えば、状態P1およびP6の代表的なしきい電圧が、平均増加分100mVで増加したと判断されると、最初に設定されている比較点のセット(700、1300、1900、2500、3100、3700、4300、4900)は、100mV引き上げられて、新たなレベル(800、1400、2000、2600、3200、3800、4400、5000に)に調整され、データはこの新たな比較点に対して読み取られる。他の1つの実施形態では、システムは8つの状態のそれぞれに対して代表的なしきい電圧を評価し、その8つの代表的なしきい電圧同士の関係を特定し、状態P1およびP6の新たな値をその関係に当てはめて、8つの状態のそれぞれの新たなしきい電圧を求めることができる。新たなしきい電圧を用いて、互いに隣接する状態間の中間地点に最も近い読み取り電圧レベルを設定することにより、状態間の新たな比較点を算出することができる。あるいは、比較点と状態P1およびP6の代表的なしきい電圧との関係を特定して、状態P1およびP6の代表的なしきい電圧として新たな値を入れることで、比較点を変更してもよい。他のアルゴリズムを使用することもできる。
一実施形態では、新たな比較点はトラッキング・セル処理を引き起こしたセクタの読み取り不具合のみに対して使用されるのではなく、その後の読み取り(例えば、同じ読み取りセッションまたは将来の読み取りセッションにおける同じメモリの他の位置への読み取り。同じ修正が要求されるかも知れないし、要求されないかもしれない。)に対しても使用される。このような場合には、比較点は当初の基準値ではなく修正された値が好ましい。あるいは、一方が最初に設定された値を用いており、他方が最新の修正値を用いている、2つの条件のセットを、2つの参照レジスタのセットと関連する読み取りモードによって、同時に管理することができる。一方のモードを用いた読み取りが失敗した場合、データ復元を試みる第一歩として、他方のモード/参照条件を使用した再読み取りが実行される。最初に試みられるモードは、成功の見込みに基いて(例えば特性に基いて統計的に、あるいは成功の履歴に基いて動的に)最適に設定される。上述の処理は、トラッキング・セル処理の間の15のステップを説明していることに留意すべきである。他の実施形態では、15を超えた、または15に満たないステップを用いる。例えば、電圧分解能が25mVで、状態と状態の間の幅が500mVである場合には、処理は20のステップを用いる。一実施形態では、トラッキング・セル処理は、品質ゲージが低品質データを指摘した場合、あるいはECCが失敗した場合にのみ実行される。
一実施形態では、ユーザー・データ部がメモリ内で手を付けずにそのまま送信されなければならない場合に(例えば、内部でのデータ・コピー動作において)、システムはヘッダーやヘッダー・スタックを独立して読み取ることができることに留意されたい。このような場合、ユーザー・データの再書き込み(例えば、他の場所へのコピー)を実行する前に、上述したように、現在のデータ・ローテーションを抽出する必要がある。そして、新たなヘッダーとユーザー・データを、抽出したローテーションに従って書き込む。
上述した処理は、制御装置によって行われるか、制御装置の指示に従って行われる。一実施形態では、ほとんどあるいは全ての処理がファームウェアによってサポートできる。従って、コード(例えばファームウェア)はプロセッサ上の制御装置/制御装置で読み取り可能な例えばフラッシュ・メモリやRAMなどの制御装置をプログラミングするための記憶媒体の内部に埋め込まれてよい。そのコードは制御装置と通信する記憶素子に保持されていてもよい。あるいは、制御装置の内部に専用のハードウェアを含ませて、これらの機能を実現してもよい。制御装置という用語は、上述の機能を実現するメモリ・システムの内部の処理デバイスを表す、いくぶん包括的な表現であることに留意されたい。
一実施形態では、上述の方法は全てリアル・タイムに実行される。トラッキング・セルを使用することによる実際の性能への定量的な評価は、実際の実装形態によって異なる。ローテーションを計算する場合においては、関連する全ての動作がパイプライン型でプロセッサの最高速度で実行され、トラッキング・セルのデータは通常のデータ・ストリーム・フローの一部であるから、性能への影響はごくわずかである。一方、上述のトラッキング・セル処理を引き起こする実施例では、30の順次的な読み取りおよび多数のシフト・アウトが必要とされ、さらに制御装置がトラッキング・セル処理の演算を行うための時間も要求され、その後は新たな読み取り条件のロードと、最後の全体的な読み取りが続く。しきい電圧の読み取りが実行される範囲を狭めたり、しきい電圧の読み取り探索アルゴリズムを改善したりする(例えば、それぞれの分布のしきい電圧の中間点を探索するために、二分探索法を利用する)ことは可能かもしれない。それでも、その発生率はかなり低いため、全体的な性能への影響はごくわずかと予想され、完全な読み取り失敗や訂正ミスよりは明らかに好ましい。
上記の例は、特定のフラッシュ・メモリ・システムに関して提供されている。しかしながら、本発明の原理は他のフラッシュ・メモリ・システムや、他の種類の記憶装置(例えば、他の集積回路/ソリッド・ステート/半導体メモリなど)にも適用でき、現在使われているものだけでなく、今後の新しい技術を用いるものにも適用できる。本発明はさらに、光学システム、磁性システム、および機械的システムを含む非電気的メモリにも適用できる。
上述の本発明の詳細な説明は、図示と解説を目的として記載された。余すところなく記載する意図はなく、本発明を開示されたとおりのものに制限する意図もない。上記の説明に照らせば、多くの変形や変更が可能である。上述の実施例は、その説明に基づき当業者が多数の実施形態で使用したり、必要に応じて様々な変更を加えたりできるように、発明の原理と実際的な適用を最適に説明するために選択された。本発明の範囲は、添付の特許請求の範囲によって規定されることを意図する。
図1は本発明の一実施形態を利用するフラッシュ・メモリ・システムのブロック図である。 図2は図1のシステムのメモリ・セル・アレイの一部の一実施形態の平面図である。 図3は図2のメモリ・セル・アレイの断面A−Aにおける部分的断面図である。 図4は図3の構造と電気的に等価な回路である。 図5はメモリ・セルを動作させる一つの手法に対する電圧の例を示す表である。 図6は本発明の一実施形態に対する状態空間を図示している。 図7は論理状態の割り当ての例を示している。 図8は異なるローテーション符号化スキームについての物理状態から論理状態への割り当ての例を示す。 図9は本発明の一実施形態に関連するユーザー・データとオーバーヘッド・データを図示するデータ・マップである。 図10はトラッキング・セルのデータ・パターンからローテーション・スキームへの割り当ての例を示す。 図11はデータの書き込みの方法の一実施形態を示すフローチャートである。 図12はデータの読み取りの方法の一実施形態を示すフローチャートである。 図13は読み取り動作の間のセルの状態を特定するために使用可能な表である。 図14はローテーション・スキームを特定するための方法の一実施形態を示すフローチャートである。 図15はトラッキング・セルを処理する方法の一実施形態を示すフローチャートである。

Claims (43)

  1. メモリ・システムに保存されているデータを読み取る方法であって、前記メモリ・システムは、マルチステート記憶素子を含み、前記マルチステート記憶素子は、データ記憶素子とトラッキング記憶素子を含み、前記データ記憶素子群の夫々は、巡回可能に符号化されたデータを複数の状態の一つとして保存することが可能であり、その方法は:
    前記トラッキング記憶素子を読み取る工程であって、前記トラッキング記憶素子の少なくとも一つをトラッキング状態群の一つに分類する工程を含み、前記トラッキング状態群の夫々は前記複数の状態の一つと対応し、前記トラッキング状態群は前記複数の状態のうちの他の状態によって相互に分離されている、前記トラッキング記憶素子を読み取る工程と;
    前記分類に基いてローテーション・スキームを特定する工程と;
    前記ローテーション・スキームを用いて、前記データ記憶素子の少なくとも一つを読み取る工程を備える方法。
  2. 前記ローテーション・スキームを特定する前記工程は、前記ローテーション・スキームの識別を生成するために、2以上の非冗長なトラッキング記憶素子についての分類を組み合わせる工程を含む、請求項1の方法。
  3. 前記トラッキング記憶素子を読み取る前記工程は、第1トラッキング記憶素子を第1トラッキング状態に分類する工程と、第2トラッキング記憶素子を第2トラッキング状態に分類する工程を含み、
    前記ローテーション・スキームを特定する前記工程は、前記第1トラッキング状態にある前記第1トラッキング記憶素子と前記第2トラッキング状態にある前記第2トラッキング記憶素子の組み合わせに基いて、第1識別子を生成する工程を含み、
    前記第1識別子は、前記ローテーション・スキームを識別するために他の非冗長な識別子と組み合わせられる、請求項1の方法。
  4. 前記トラッキング記憶素子を読み取る前記工程はさらに、第3トラッキング記憶素子を前記第1トラッキング状態に分類する工程と、第4トラッキング記憶素子を前記第2トラッキング状態に分類する工程と、第5トラッキング記憶素子を前記第1トラッキング状態に分類する工程と、第6トラッキング記憶素子を前記第2トラッキング状態に分類する工程を含み、
    前記ローテーション・スキームを特定する工程はさらに、
    前記第1トラッキング状態にある前記第3トラッキング記憶素子と、前記第2トラッキング状態にある前記第4トラッキング記憶素子の組み合わせに基いて、第2識別子を生成する工程と、
    前記第1トラッキング状態にある前記第5トラッキング記憶素子と、前記第2トラッキング状態にある前記第6トラッキング記憶素子の組み合わせに基いて、第3識別子を生成する工程を含み、
    前記第一識別子、前記第二識別子、および前記第三識別子は、前記ローテーション・スキームを識別するために、組み合わせとしてひとまとめにされる、請求項3の方法。
  5. 前記第1識別子は第1ビットであり、
    前記第2識別子は第2ビットであり、
    前記第3識別子は第3ビットであり、
    前記第1ビット、第2ビット、および第3ビットは、前記ローテーション・スキームに対する巡回符号を構成する、請求項4の方法。
  6. 前記複数の状態は8つの状態を含み、
    前記トラッキング状態群は第1状態と第2状態を含み、前記第2状態は前記第1状態から、少なくとも4つの他の状態を挟んで分離されている、請求項1の方法。
  7. 前記ローテーション・スキームを特定する前記工程は:
    トラッキング記憶素子のペアからのデータにアクセスする工程と;
    特定のペアが、第1トラッキング状態にある第1トラッキング記憶素子と、第2トラッキング状態にある第2トラッキング記憶素子を備えるか否かを特定する工程と;
    前記特定のペアが第1トラッキング状態にある第1トラッキング記憶素子と第2トラッキング状態にある第2トラッキング記憶素子を備えている場合に、前記第1トラッキング記憶素子と前記第2トラッキング記憶素子に基いて、前記ローテーション・スキームを識別する符号の第1ビットを特定する工程と;
    前記特定のペアが第1トラッキング状態にある第1トラッキング記憶素子と第2トラッキング状態にある第2トラッキング記憶素子を備えている場合に、前記特定のペアに前記第1の状態と前記第2の状態を割り当てるための最良な対応付けを特定する工程を含み、前記符号は前記第1ビットと少なくとも1つの他のビットを備えている、請求項1の方法。
  8. 前記トラッキング記憶素子群は、前記ローテーション・スキームを識別する情報に対して互いに冗長性を有する複数のセットに分割されており、
    前記トラッキング記憶素子を読み取る前記工程は、トラッキング記憶素子前記複数のセットを読み取る工程を含み;
    前記ローテーション・スキームを特定する前記工程は、トラッキング記憶素子の1つのセットに基いて、前記ローテーション・スキームを識別することを試みる工程と、トラッキング記憶素子前記複数のセットの冗長性に基いて、トラッキング素子群のエラーを修復することを試みる工程を含む、請求項1の方法。
  9. トラッキング記憶素子の異なるセット群が、それぞれ異なるローテーション・スキーム群を識別する場合に、前記ローテーション・スキームが、トラッキング記憶素子の異なるセット群の中での多数決投票の方法によって選択される、請求項8の方法。
  10. 前記データ記憶素子の少なくとも一つを読み取る前記工程は、前記ローテーション・スキームに基いて、前記データ記憶素子の前記少なくとも一つから読み取られたデータを復号化する工程を含む、請求項1の方法。
  11. 前記トラッキング記憶素子のデータが巡回的に符号化されていない、請求項1の方法。
  12. 前記トラッキング状態群の各状態に対して複数の読み取り動作を実行する工程と、
    複数の読み取り動作を実行する前記工程に基いて、前記複数の状態のそれぞれを区別するための比較値の現在のセットを特定する工程と、
    比較値の前記現在のセットを用いて、前記データ記憶素子少なくとも1つを読み取る工程をさらに備える、請求項1の方法。
  13. 複数の読み取り動作を実行する前記工程は、
    前記トラッキング状態群の第1状態についての第1の複数の読み取り動作を実行する工程と、
    前記トラッキング状態群の第2状態についての第2の複数の読み取り動作を実行する工程と、
    前記第1の複数の読み取り動作に基いて、前記第1状態に対する第1数値を特定する工程と、
    前記第2の複数の読み取り動作に基いて、前記第2状態に対する第2数値を特定する工程を含み、
    比較値の前記現在のセットを特定する前記工程は、前記第1数値と前記第2数値に基いて、比較値の前記現在のセットを特定する工程を含む、請求項12の方法。
  14. 比較値の現在のセットを特定する前記工程は:
    第1状態に対する代表的なしきい電圧値を特定する工程と;
    第2状態に対する代表的なしきい電圧値を特定する工程と;
    前記第1状態に対する代表的なしきい電圧値と、前記第2状態に対する代表的なしきい電圧値に基いて、前記第1状態と前記第2状態の間の全ての状態についてのデータを用いることなく、現在の比較値を変更する工程を含む、請求項12の方法。
  15. 前記トラッキング記憶素子群は、前記ローテーション・スキームを識別する情報に対して互いに冗長性を有する複数のセットに分割されており、
    複数の読み取り動作を実行する前記工程は:
    第1状態に関連する複数のしきい電圧レベルについて、前記トラッキング記憶素子の第1セットに読み取り動作を実行する工程と;
    前記トラッキング記憶素子の前記第1セットに読み取り動作を実行する工程に基いて、前記トラッキング記憶素子の前記第1セットに対するしきい電圧レベルを特定する工程と;
    第2状態に関連する複数のしきい電圧レベルについて、前記トラッキング記憶素子の第2セットに読み取り動作を実行する工程と;
    前記トラッキング記憶素子の前記第2セットに読み取り動作を実行する工程に基いて、前記トラッキング記憶素子の前記第2セットに対するしきい電圧レベルを特定する工程を含む、請求項14の方法。
  16. トラッキング記憶素子について読み取り動作の初期セットを実行する工程と;
    読み取り動作の前記初期セットからのエラー情報を記録する工程と;
    前記エラー情報に基いて品質ゲージを特定する工程と;
    前記品質ゲージが低品質またはECC失敗を示しているか否かを特定する工程をさらに備え、複数の読み取り動作を実行する前記工程と比較値の新たなセットを特定する前記工程は、前記品質ゲージが低品質またはECC失敗を示していると特定されたときに実行される、請求項12の方法。
  17. マルチステート記憶素子と;
    前記マルチステート記憶素子と通信可能な検出回路と;
    前記検出回路と通信可能な制御回路を備えるメモリ・システムであって、
    前記マルチステート記憶素子は、データ記憶素子とトラッキング記憶素子を含み、
    前記データ記憶素子群の夫々は、巡回可能に符号化されたデータを複数の状態の一つとして保存することが可能であり、
    前記検出回路は、前記データ記憶素子と前記トラッキング記憶素子を読み取ることが可能であり、
    前記制御回路は、前記検出回路によって読み取られた前記トラッキング記憶素子の少なくとも一つをトラッキング状態群の一つに分類し、
    前記トラッキング状態群の夫々は前記複数の状態の一つに対応しており、
    前記トラッキング状態群は前記複数の状態のうちの他の状態を挟んで相互に分離しており、
    前記制御装置は、前記分類に基いてローテーション・スキームを特定するとともに、前記特定されたローテーション・スキームを用い前記データ記憶素子の少なくとも一つを読み取、メモリ・システム。
  18. 前記制御装置は、前記ローテーション・スキームの識別を生成するため、2以上の非冗長なトラッキング記憶素子の分類を組み合わせることによって、前記ローテーション・スキームを特定する、請求項17のメモリ・システム。
  19. 第1トラッキング記憶素子は、第1トラッキング状態に特徴付けられ、第2トラッキング記憶素子は、第2トラッキング状態に特徴づけられ;
    前記制御装置は、前記第1トラッキング状態にある前記第1トラッキング記憶素子と、前記第2トラッキング状態にある前記第2トラッキング記憶素子との組み合わせに基いて、第1識別子を生成することによって前記ローテーション・スキームを特定し、前記第1識別子は、前記ローテーション・スキームを識別するために、他の非冗長な識別子と組み合わせられる、請求項17のメモリ・システム。
  20. 前記複数の状態は、状態0、状態1、状態2、状態3、状態4、状態5、状態6、および状態7の、8つの状態を含み、
    前記トラッキング状態群は状態1と状態6を含み、
    前記分類は、特定の記憶素子が物理的に状態1もしくは状態6になくても、その特定の記憶素子が論理的に状態1もしくは状態6にあると見なされるか否かを特定することとを含む、請求項17のメモリ・システム。
  21. 前記制御回路は:
    トラッキング記憶素子のペアからのデータにアクセスすることと;
    特定のペアが第1トラッキング状態にある第1トラッキング記憶素子と第2トラッキング状態にある第2トラッキング記憶素子を備えているか否かを特定することと;
    前記特定のペアが第1トラッキング状態にある第1トラッキング記憶素子と第2トラッキング状態にある第2トラッキング記憶素子を備えている場合に、前記第1トラッキング記憶素子と前記第2トラッキング記憶素子に基いて、前記ローテーション・スキームを識別する符号の第1ビットを特定することと;
    前記特定のペアが第1トラッキング状態にある第1トラッキング記憶素子と第2トラッキング状態にある第2トラッキング記憶素子を備えていない場合に、前記特定のペアに前記第1の状態と前記第2の状態を割り当てるための最良な対応付けを特定することによって、前記ローテーション・スキームを特定し、
    前記符号は、前記第1ビットと少なくとも1つの他のビットを備えている、請求項17のメモリ・システム。
  22. 前記トラッキング記憶素子のデータが巡回的に符号化されていない、請求項17のメモリ・システム。
  23. 前記トラッキング記憶素子は、フラッシュ・メモリ記憶素子である、請求項17のメモリ・システム。
  24. 前記制御回路は、前記トラッキング状態群の各状態に対して複数の読み取り動作の実行を起動し、複数の読み取り動作の実行に基いて、前記複数の状態のそれぞれを区別するための比較値の現在のセットを特定し、比較値の前記現在のセットを用いて、前記データ記憶素子少なくとも1つの読み取り動作を起動する、請求項17のメモリ・システム。
  25. 比較値の前記現在のセットは、前記トラッキング状態群の第1状態に対する代表的なしきい電圧値を特定することと、前記トラッキング状態群の第2状態に対する代表的なしきい電圧値を特定することと、前記第1状態に対する代表的なしきい電圧値と、前記第2状態に対する代表的なしきい電圧値に基いて、前記第1状態と前記第2状態の間の全ての状態についてのデータを用いることなく、現在の比較値を変更することによって、特定される、請求項24のメモリ・システム。
  26. 前記制御回路は、トラッキング記憶素子のセットについて読み取り動作の初期セットを実行することと、読み取り動作の前記初期セットからのエラー情報を記録することと、前記エラー情報に基いて品質ゲージを特定することと、前記品質ゲージが所定の基準を満たしているか否かを特定することを実行させ、
    前記複数の読み取り動作を実行することと、前記比較値の現在のセットを特定することは、前記品質ゲージが前記所定の基準を満たしていると特定されたときに実行される、請求項17のメモリ・システム。
  27. メモリ・システムに実行される方法であり、前記メモリ・システムは記憶素子を含み、前記記憶素子はデータ記憶素子とトラッキング記憶素子を含み、その方法は:
    前記トラッキング記憶素子に読み取り動作を実行する工程と;
    前記読み取り動作からのエラー情報を記録する工程と;
    前記エラー情報に基いて、品質ゲージを特定する工程と;
    前記品質ゲージが所定の基準を満たす場合に、予め定められた応答を実行する工程を備えており、
    前記予め定められた応答は、(a)読み取り処理を強制終了する工程であって、前記トラッキング記憶素子群に読み取り動作を実行する前記工程は、前記読み取り処理の一部である工程;(b)マルチ・ビット訂正を行わないことを選択することによって、エラー訂正符号処理のパラメータを変更する工程;(c)トラッキング状態群について、前記トラッキング記憶素子群に複数の読み取り動作を実行し、前記データ記憶素子群の状態を区別するための電圧しきい値レベルである比較値の現在のセットを特定する工程、のうちの少なくとも一つの工程を含む方法。
  28. 読み取り動作を実行する前記工程に基いて、前記データ記憶素子の少なくとも一つについてローテーション・スキームを特定する工程と;
    前記ローテーション・スキームを用いて前記データ記憶素子の前記少なくとも一つを読み取る工程をさらに備える、請求項27の方法。
  29. 前記品質ゲージが所定の基準を満たさない場合に、ローテーション・スキームを用いて前記データ記憶素子の前記少なくとも一つを読み取る工程をさらに備え、前記ローテーション・スキームは読み取り動作を実行する前記工程に基いて特定される、請求項27の方法。
  30. 読み取り動作を実行する前記工程は、前記トラッキング記憶素子がトラッキング状態群にあるか否かを特定する工程を含み;
    エラー情報を記録する前記工程は、前記トラッキング状態の一つでない任意の前記トラッキング記憶素子に関するエラー情報を記録する工程を含む、請求項27の方法。
  31. 品質ゲージを特定する前記工程は、前記トラッキング状態群のいずれにもないトラッキング記憶素子の数を特定する工程を含む、請求項30の方法。
  32. トラッキング状態以外の状態にあるトラッキング記憶素子に対して、品質ゲージを特定する前記工程は、(a)前記トラッキング記憶素子の読み取り状態に相当するしきい値電圧と、(b)前記トラッキング状態に相当するしきい値電圧の間の差を特定する工程を含む、請求項30の方法。
  33. 品質ゲージを特定する前記工程は、経時的にエラーのを更新する工程を含む、請求項30の方法。
  34. 前記トラッキング記憶素子は、ペアにグループ分けされ、
    読み取り動作を行う前記工程は、トラッキング記憶素子の各ペアに対して、トラッキング記憶素子の前記ペアの一方が第1状態であってトラッキング記憶素子の前記ペアの他方が第2状態であるか否か、前記トラッキング記憶素子の現在の状態を特定する工程を含み、前記第1状態は前記第2状態に隣接する状態ではなく、
    エラー情報を記録する前記工程は、トラッキング記憶素子の前記ペアの前記一方が前記第1状態にない場合にトラッキング記憶素子の前記ペアの前記一方に対するエラー情報を記録する工程と、トラッキング記憶素子の前記ペアの前記他方が前記第2状態にない場合にトラッキング記憶素子の前記ペアの前記他方に対するエラー情報を記録する工程を含む、請求項27の方法。
  35. 前記データ記憶素子少なくとも1つからのデータを読み取るために、比較値の前記現在のセットを用いる工程と;
    前記データにエラー訂正符号解析を実行する工程と;
    前記エラー訂正符号解析が成功した場合に前記データをホストに報告する工程をさらに備える、請求項27の方法。
  36. 比較値の現在のセットを特定する前記工程は:
    前記トラッキング状態群の第1状態に対する代表的なしきい電圧値を特定する工程と;
    前記トラッキング状態群の第2状態に対する代表的なしきい電圧値を特定する工程と;
    前記第1状態に対する代表的なしきい電圧値と、前記第2状態に対する代表的なしきい電圧値に基いて、前記第1状態と前記第2状態の間の全ての状態についてのデータを用いることなく、現在の比較値をシフトする工程を含む、請求項27の方法。
  37. 読み取り動作を実行する前記工程に基いて、前記データ記憶素子の少なくとも一つについてのローテーション・スキームを特定する工程と、
    前記ローテーション・スキームを用いて、前記データ記憶素子の前記少なくとも一つを読み取る工程をさらに備える、請求項27の方法。
  38. 前記品質ゲージが所定の基準を満たさない場合にローテーション・スキームを用いて前記データ記憶素子の前記少なくとも一つを読み取る工程をさらに備え、前記ローテーション・スキームは読み取り動作を実行する前記工程に基いて特定される、請求項27の方法。
  39. 記憶素子であって、データ記憶素子とトラッキング記憶素子を含み、前記データ記憶素子は複数の状態の一つとしてデータを保存することが可能であり、前記トラッキング記憶素子群の少なくとも一つは、夫々が前記複数の状態の一つに対応するトラッキング状態群の一つに分類される、記憶素子と;
    前記トラッキング記憶素子と通信可能な制御装置を備えるメモリ・システムであって、
    前記制御装置は、前記メモリ・システムの読み取り処理の一部として、前記トラッキング記憶素子の読み取り動作の実行と、前記読み取り動作からのエラー情報の記録と、前記読み取り動作に基いた品質ゲージの特定を引き起こし、
    前記品質ゲージが所定の基準を満足しない場合に、前記メモリ・システムの前記読み取り処理は、前記品質ゲージに対する応答を行い、
    前期品質ゲージに対する前記応答が、(a)マルチ・ビット訂正は行わないことを選択することによって、エラー訂正符号処理のパラメータを変更する工程;(b)前記複数の状態の夫々の状態について、前記トラッキング記憶素子群に複数の読み取り動作を実行し、前記データ記憶素子群の状態を区別するための電圧しきい値レベルである比較値の現在のセットを特定する工程、のうちの少なくとも一つの工程を含むことを特徴とする、メモリ・システム。
  40. 前記制御装置は、前記トラッキング記憶素子がトラッキング状態にあるか否かを特定し、前記トラッキング状態にないトラッキング記憶素子に関するエラー情報を記録する、請求項39のメモリ・システム。
  41. 前記品質ゲージは、前記トラッキング状態にないトラッキング記憶素子の数を指し示す、請求項39のメモリ・システム。
  42. 前記品質ゲージに対する前記応答は、前記データ記憶素子の前記少なくとも一つからのデータを読み取るための比較値の前記現在のセットの使用と、前記データのエラー訂正符号解析の実行と、前記エラー訂正符号解析が成功した場合の前記データのホストへの報告をさらに含む、請求項39のメモリ・システム。
  43. 前記制御装置は:
    前記トラッキング状態群の第1状態に対する代表的なしきい電圧値を特定し;
    前記トラッキング状態群の第2状態に対する代表的なしきい電圧値を特定し;
    前記第1状態に対する代表的なしきい電圧値と、前記第2状態に対する代表的なしきい電圧値に基いて、前記第1状態と前記第2状態の間の全ての状態についてのデータを用いることなく、現在の比較値をシフトすることによって、比較値の前記現在のセットを特定する、請求項39のメモリ・システム。
JP2006533611A 2003-06-13 2004-06-07 メモリ・システムのトラッキング・セル Expired - Fee Related JP4681559B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/461,244 US7237074B2 (en) 2003-06-13 2003-06-13 Tracking cells for a memory system
PCT/US2004/018172 WO2004114313A2 (en) 2003-06-13 2004-06-07 Tracking cells for a memory system

Publications (3)

Publication Number Publication Date
JP2007500918A JP2007500918A (ja) 2007-01-18
JP2007500918A5 JP2007500918A5 (ja) 2010-05-20
JP4681559B2 true JP4681559B2 (ja) 2011-05-11

Family

ID=33511212

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006533611A Expired - Fee Related JP4681559B2 (ja) 2003-06-13 2004-06-07 メモリ・システムのトラッキング・セル

Country Status (8)

Country Link
US (5) US7237074B2 (ja)
EP (1) EP1636802B1 (ja)
JP (1) JP4681559B2 (ja)
KR (1) KR101049580B1 (ja)
CN (1) CN1826659B (ja)
AT (1) ATE535912T1 (ja)
TW (1) TWI244089B (ja)
WO (1) WO2004114313A2 (ja)

Families Citing this family (243)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6963505B2 (en) * 2002-10-29 2005-11-08 Aifun Semiconductors Ltd. Method circuit and system for determining a reference voltage
US7237074B2 (en) * 2003-06-13 2007-06-26 Sandisk Corporation Tracking cells for a memory system
US7266732B2 (en) * 2003-12-22 2007-09-04 Samsung Electronics Co., Ltd. MRAM with controller
US7355237B2 (en) * 2004-02-13 2008-04-08 Sandisk Corporation Shield plate for limiting cross coupling between floating gates
US7023733B2 (en) * 2004-05-05 2006-04-04 Sandisk Corporation Boosting to control programming of non-volatile memory
DE102005020808B3 (de) * 2005-05-04 2006-07-20 Micronas Gmbh Nichtflüchtige Speichereinrichtung mit einer Programmier- und Löschkontrolle
US7230854B2 (en) * 2005-08-01 2007-06-12 Sandisk Corporation Method for programming non-volatile memory with self-adjusting maximum program loop
US7023737B1 (en) * 2005-08-01 2006-04-04 Sandisk Corporation System for programming non-volatile memory with self-adjusting maximum program loop
US7301817B2 (en) * 2005-10-27 2007-11-27 Sandisk Corporation Method for programming of multi-state non-volatile memory using smart verify
US7366022B2 (en) * 2005-10-27 2008-04-29 Sandisk Corporation Apparatus for programming of multi-state non-volatile memory using smart verify
JP4960378B2 (ja) 2005-12-06 2012-06-27 サンディスク コーポレイション 不揮発性メモリの読み出し外乱を低減する方法
US7355888B2 (en) * 2005-12-19 2008-04-08 Sandisk Corporation Apparatus for programming non-volatile memory with reduced program disturb using modified pass voltages
US7355889B2 (en) * 2005-12-19 2008-04-08 Sandisk Corporation Method for programming non-volatile memory with reduced program disturb using modified pass voltages
US7365018B2 (en) * 2005-12-28 2008-04-29 Sandisk Corporation Fabrication of semiconductor device for flash memory with increased select gate width
US7395466B2 (en) * 2005-12-30 2008-07-01 Intel Corporation Method and apparatus to adjust voltage for storage location reliability
US7609561B2 (en) * 2006-01-18 2009-10-27 Apple Inc. Disabling faulty flash memory dies
US7793059B2 (en) * 2006-01-18 2010-09-07 Apple Inc. Interleaving policies for flash memory
US7752391B2 (en) * 2006-01-20 2010-07-06 Apple Inc. Variable caching policy system and method
US7702935B2 (en) * 2006-01-25 2010-04-20 Apple Inc. Reporting flash memory operating voltages
US20070174641A1 (en) * 2006-01-25 2007-07-26 Cornwell Michael J Adjusting power supplies for data storage devices
US7594043B2 (en) * 2006-01-27 2009-09-22 Apple Inc. Reducing dismount time for mass storage class devices
US7861122B2 (en) * 2006-01-27 2010-12-28 Apple Inc. Monitoring health of non-volatile memory
US7912994B2 (en) * 2006-01-27 2011-03-22 Apple Inc. Reducing connection time for mass storage class peripheral by internally prefetching file data into local cache in response to connection to host
CN101395673B (zh) 2006-03-03 2011-09-21 桑迪士克股份有限公司 对浮动栅极耦合具有补偿的非易失性存储装置的读取操作
US7849302B2 (en) 2006-04-10 2010-12-07 Apple Inc. Direct boot arrangement using a NAND flash memory
WO2007126665A1 (en) 2006-04-12 2007-11-08 Sandisk Corporation Reducing the impact of program disturb during read
US7701797B2 (en) * 2006-05-15 2010-04-20 Apple Inc. Two levels of voltage regulation supplied for logic and data programming voltage of a memory device
US7613043B2 (en) * 2006-05-15 2009-11-03 Apple Inc. Shifting reference values to account for voltage sag
US7511646B2 (en) * 2006-05-15 2009-03-31 Apple Inc. Use of 8-bit or higher A/D for NAND cell value
US7911834B2 (en) * 2006-05-15 2011-03-22 Apple Inc. Analog interface for a flash memory die
US7639531B2 (en) * 2006-05-15 2009-12-29 Apple Inc. Dynamic cell bit resolution
US7551486B2 (en) * 2006-05-15 2009-06-23 Apple Inc. Iterative memory cell charging based on reference cell value
US7568135B2 (en) * 2006-05-15 2009-07-28 Apple Inc. Use of alternative value in cell detection
US7852690B2 (en) * 2006-05-15 2010-12-14 Apple Inc. Multi-chip package for a flash memory
US7639542B2 (en) * 2006-05-15 2009-12-29 Apple Inc. Maintenance operations for multi-level data storage cells
US8000134B2 (en) 2006-05-15 2011-08-16 Apple Inc. Off-die charge pump that supplies multiple flash devices
WO2008097320A2 (en) * 2006-06-01 2008-08-14 Virginia Tech Intellectual Properties, Inc. Premixing injector for gas turbine engines
US7391650B2 (en) * 2006-06-16 2008-06-24 Sandisk Corporation Method for operating non-volatile memory using temperature compensation of voltages of unselected word lines and select gates
US7342831B2 (en) * 2006-06-16 2008-03-11 Sandisk Corporation System for operating non-volatile memory using temperature compensation of voltages of unselected word lines and select gates
US7492633B2 (en) * 2006-06-19 2009-02-17 Sandisk Corporation System for increasing programming speed for non-volatile memory by applying counter-transitioning waveforms to word lines
US7349261B2 (en) * 2006-06-19 2008-03-25 Sandisk Corporation Method for increasing programming speed for non-volatile memory by applying counter-transitioning waveforms to word lines
US7489549B2 (en) * 2006-06-22 2009-02-10 Sandisk Corporation System for non-real time reprogramming of non-volatile memory to achieve tighter distribution of threshold voltages
US7486561B2 (en) * 2006-06-22 2009-02-03 Sandisk Corporation Method for non-real time reprogramming of non-volatile memory to achieve tighter distribution of threshold voltages
US20070297247A1 (en) * 2006-06-26 2007-12-27 Gerrit Jan Hemink Method for programming non-volatile memory using variable amplitude programming pulses
US7304893B1 (en) 2006-06-30 2007-12-04 Sandisk Corporation Method of partial page fail bit detection in flash memory devices
US7355892B2 (en) * 2006-06-30 2008-04-08 Sandisk Corporation Partial page fail bit detection in flash memory devices
US7894269B2 (en) * 2006-07-20 2011-02-22 Sandisk Corporation Nonvolatile memory and method for compensating during programming for perturbing charges of neighboring cells
US7885119B2 (en) 2006-07-20 2011-02-08 Sandisk Corporation Compensating for coupling during programming
US7716415B2 (en) * 2006-08-01 2010-05-11 Sandisk Il Ltd. Method of avoiding errors in flash memory
US7440326B2 (en) 2006-09-06 2008-10-21 Sandisk Corporation Programming non-volatile memory with improved boosting
US7885112B2 (en) * 2007-09-07 2011-02-08 Sandisk Corporation Nonvolatile memory and method for on-chip pseudo-randomization of data within a page and between pages
US8001314B2 (en) 2006-09-12 2011-08-16 Apple Inc. Storing a driver for controlling a memory
US7705387B2 (en) * 2006-09-28 2010-04-27 Sandisk Corporation Non-volatile memory with local boosting control implant
US7977186B2 (en) * 2006-09-28 2011-07-12 Sandisk Corporation Providing local boosting control implant for non-volatile memory
JP2008090451A (ja) * 2006-09-29 2008-04-17 Toshiba Corp 記憶装置
US7616490B2 (en) * 2006-10-17 2009-11-10 Sandisk Corporation Programming non-volatile memory with dual voltage select gate structure
US7691710B2 (en) * 2006-10-17 2010-04-06 Sandisk Corporation Fabricating non-volatile memory with dual voltage select gate structure
US7586157B2 (en) * 2006-10-17 2009-09-08 Sandisk Corporation Non-volatile memory with dual voltage select gate structure
US7596031B2 (en) 2006-10-30 2009-09-29 Sandisk Corporation Faster programming of highest multi-level state for non-volatile memory
US7468911B2 (en) * 2006-11-02 2008-12-23 Sandisk Corporation Non-volatile memory using multiple boosting modes for reduced program disturb
US7440323B2 (en) * 2006-11-02 2008-10-21 Sandisk Corporation Reducing program disturb in non-volatile memory using multiple boosting modes
US20080108358A1 (en) * 2006-11-08 2008-05-08 Motorola, Inc. Interference mitigation and recovery
US7508703B2 (en) * 2006-11-13 2009-03-24 Sandisk Corporation Non-volatile memory with boost structures
US7508710B2 (en) * 2006-11-13 2009-03-24 Sandisk Corporation Operating non-volatile memory with boost structures
US7696035B2 (en) * 2006-11-13 2010-04-13 Sandisk Corporation Method for fabricating non-volatile memory with boost structures
US7623387B2 (en) * 2006-12-12 2009-11-24 Sandisk Corporation Non-volatile storage with early source-side boosting for reducing program disturb
US7623386B2 (en) * 2006-12-12 2009-11-24 Sandisk Corporation Reducing program disturb in non-volatile storage using early source-side boosting
US7570520B2 (en) * 2006-12-27 2009-08-04 Sandisk Corporation Non-volatile storage system with initial programming voltage based on trial
US7551482B2 (en) * 2006-12-27 2009-06-23 Sandisk Corporation Method for programming with initial programming voltage based on trial
US7468919B2 (en) * 2006-12-30 2008-12-23 Sandisk Corporation Biasing non-volatile storage based on selected word line
US7554853B2 (en) * 2006-12-30 2009-06-30 Sandisk Corporation Non-volatile storage with bias based on selective word line
US7525843B2 (en) * 2006-12-30 2009-04-28 Sandisk Corporation Non-volatile storage with adaptive body bias
US7468920B2 (en) 2006-12-30 2008-12-23 Sandisk Corporation Applying adaptive body bias to non-volatile storage
US7583535B2 (en) * 2006-12-30 2009-09-01 Sandisk Corporation Biasing non-volatile storage to compensate for temperature variations
US7583539B2 (en) * 2006-12-30 2009-09-01 Sandisk Corporation Non-volatile storage with bias for temperature compensation
US7984360B2 (en) * 2006-12-31 2011-07-19 Ramot At Tel Aviv University Ltd. Avoiding errors in a flash memory by using substitution transformations
US7660166B2 (en) * 2007-01-31 2010-02-09 Sandisk Il Ltd. Method of improving programming precision in flash memory
CN102005244B (zh) 2007-02-20 2015-10-21 桑迪士克科技公司 非易失性存储的可变编程
US7613051B2 (en) * 2007-03-14 2009-11-03 Apple Inc. Interleaving charge pumps for programmable memories
US7814304B2 (en) * 2007-03-14 2010-10-12 Apple Inc. Switching drivers between processors
US7797480B2 (en) * 2007-03-29 2010-09-14 Sandisk Corporation Method for reading non-volatile storage using pre-conditioning waveforms and modified reliability metrics
US7904793B2 (en) * 2007-03-29 2011-03-08 Sandisk Corporation Method for decoding data in non-volatile storage using reliability metrics based on multiple reads
US7532516B2 (en) * 2007-04-05 2009-05-12 Sandisk Corporation Non-volatile storage with current sensing of negative threshold voltages
US7606076B2 (en) * 2007-04-05 2009-10-20 Sandisk Corporation Sensing in non-volatile storage using pulldown to regulated source voltage to remove system noise
US7606071B2 (en) * 2007-04-24 2009-10-20 Sandisk Corporation Compensating source voltage drop in non-volatile storage
US7606072B2 (en) * 2007-04-24 2009-10-20 Sandisk Corporation Non-volatile storage with compensation for source voltage drop
US7870327B1 (en) 2007-04-25 2011-01-11 Apple Inc. Controlling memory operations using a driver and flash memory type tables
US7996599B2 (en) 2007-04-25 2011-08-09 Apple Inc. Command resequencing in memory operations
US7606079B2 (en) * 2007-04-25 2009-10-20 Sandisk Corporation Reducing power consumption during read operations in non-volatile storage
US7913032B1 (en) 2007-04-25 2011-03-22 Apple Inc. Initiating memory wear leveling
US20080288712A1 (en) 2007-04-25 2008-11-20 Cornwell Michael J Accessing metadata with an external host
US7869277B1 (en) 2007-04-25 2011-01-11 Apple Inc. Managing data writing to memories
US7440327B1 (en) 2007-04-25 2008-10-21 Sandisk Corporation Non-volatile storage with reduced power consumption during read operations
US7577036B2 (en) * 2007-05-02 2009-08-18 Micron Technology, Inc. Non-volatile multilevel memory cells with data read of reference cells
US7577026B2 (en) * 2007-05-07 2009-08-18 Sandisk Corporation Source and drain side early boosting using local self boosting for non-volatile storage
US7463522B2 (en) * 2007-05-07 2008-12-09 Sandisk Corporation Non-volatile storage with boosting using channel isolation switching
US7460404B1 (en) * 2007-05-07 2008-12-02 Sandisk Corporation Boosting for non-volatile storage using channel isolation switching
US7991942B2 (en) 2007-05-09 2011-08-02 Stmicroelectronics S.R.L. Memory block compaction method, circuit, and system in storage devices based on flash memories
US20080282024A1 (en) * 2007-05-09 2008-11-13 Sudeep Biswas Management of erase operations in storage devices based on flash memories
US8041883B2 (en) 2007-05-09 2011-10-18 Stmicroelectronics S.R.L. Restoring storage devices based on flash memories and related circuit, system, and method
US7882301B2 (en) * 2007-05-09 2011-02-01 Stmicroelectronics S.R.L. Wear leveling in storage devices based on flash memories and related circuit, system, and method
US8073648B2 (en) 2007-05-14 2011-12-06 Sandisk Il Ltd. Measuring threshold voltage distribution in memory using an aggregate characteristic
US7545678B2 (en) * 2007-06-29 2009-06-09 Sandisk Corporation Non-volatile storage with source bias all bit line sensing
US7471567B1 (en) 2007-06-29 2008-12-30 Sandisk Corporation Method for source bias all bit line sensing in non-volatile storage
US7969788B2 (en) * 2007-08-21 2011-06-28 Micron Technology, Inc. Charge loss compensation methods and apparatus
US7869273B2 (en) 2007-09-04 2011-01-11 Sandisk Corporation Reducing the impact of interference during programming
US7672163B2 (en) 2007-09-14 2010-03-02 Sandisk Corporation Control gate line architecture
US7652929B2 (en) * 2007-09-17 2010-01-26 Sandisk Corporation Non-volatile memory and method for biasing adjacent word line for verify during programming
US7577034B2 (en) * 2007-09-26 2009-08-18 Sandisk Corporation Reducing programming voltage differential nonlinearity in non-volatile storage
US7978520B2 (en) 2007-09-27 2011-07-12 Sandisk Corporation Compensation of non-volatile memory chip non-idealities by program pulse adjustment
US7894263B2 (en) * 2007-09-28 2011-02-22 Sandisk Corporation High voltage generation and control in source-side injection programming of non-volatile memory
US7613045B2 (en) * 2007-11-26 2009-11-03 Sandisk Il, Ltd. Operation sequence and commands for measuring threshold voltage distribution in memory
US7768839B2 (en) 2007-11-27 2010-08-03 Micron Technology, Inc. Memory read methods, apparatus, and systems
US7688638B2 (en) * 2007-12-07 2010-03-30 Sandisk Corporation Faster programming of multi-level non-volatile storage through reduced verify operations
KR101498669B1 (ko) * 2007-12-20 2015-03-19 삼성전자주식회사 반도체 메모리 시스템 및 그것의 액세스 방법
US7915664B2 (en) * 2008-04-17 2011-03-29 Sandisk Corporation Non-volatile memory with sidewall channels and raised source/drain regions
US7808819B2 (en) * 2008-04-29 2010-10-05 Sandisk Il Ltd. Method for adaptive setting of state voltage levels in non-volatile memory
US7808836B2 (en) * 2008-04-29 2010-10-05 Sandisk Il Ltd. Non-volatile memory with adaptive setting of state voltage levels
US8051240B2 (en) * 2008-05-09 2011-11-01 Sandisk Technologies Inc. Compensating non-volatile storage using different pass voltages during program-verify and read
US7719902B2 (en) * 2008-05-23 2010-05-18 Sandisk Corporation Enhanced bit-line pre-charge scheme for increasing channel boosting in non-volatile storage
US7952928B2 (en) * 2008-05-27 2011-05-31 Sandisk Il Ltd. Increasing read throughput in non-volatile memory
JP2009294869A (ja) * 2008-06-04 2009-12-17 Toshiba Corp メモリシステム
US7800956B2 (en) * 2008-06-27 2010-09-21 Sandisk Corporation Programming algorithm to reduce disturb with minimal extra time penalty
US8458536B2 (en) * 2008-07-17 2013-06-04 Marvell World Trade Ltd. Data recovery in solid state memory devices
US7755946B2 (en) * 2008-09-19 2010-07-13 Sandisk Corporation Data state-based temperature compensation during sensing in non-volatile memory
WO2010035241A1 (en) * 2008-09-28 2010-04-01 Ramot At Tel Aviv University Ltd. Method and system for adaptive coding in flash memories
US8671327B2 (en) 2008-09-28 2014-03-11 Sandisk Technologies Inc. Method and system for adaptive coding in flash memories
US7974133B2 (en) 2009-01-06 2011-07-05 Sandisk Technologies Inc. Robust sensing circuit and method
US8026544B2 (en) 2009-03-30 2011-09-27 Sandisk Technologies Inc. Fabricating and operating a memory array having a multi-level cell region and a single-level cell region
US7983065B2 (en) * 2009-04-08 2011-07-19 Sandisk 3D Llc Three-dimensional array of re-programmable non-volatile memory elements having vertical bit lines
US8351236B2 (en) 2009-04-08 2013-01-08 Sandisk 3D Llc Three-dimensional array of re-programmable non-volatile memory elements having vertical bit lines and a single-sided word line architecture
CN102449698B (zh) 2009-04-08 2015-07-29 桑迪士克3D有限责任公司 具有垂直位线和双全局位线架构的可重编程非易失性存储器元件的三维阵列
US8199576B2 (en) * 2009-04-08 2012-06-12 Sandisk 3D Llc Three-dimensional array of re-programmable non-volatile memory elements having vertical bit lines and a double-global-bit-line architecture
US8572443B2 (en) * 2009-04-08 2013-10-29 International Business Machines Corporation System, method, and computer program product for determining a retention behavior for at least one block of a memory device having finite endurance and/or retention
US7907449B2 (en) 2009-04-09 2011-03-15 Sandisk Corporation Two pass erase for non-volatile storage
US8054691B2 (en) 2009-06-26 2011-11-08 Sandisk Technologies Inc. Detecting the completion of programming for non-volatile storage
US8995197B1 (en) * 2009-08-26 2015-03-31 Densbits Technologies Ltd. System and methods for dynamic erase and program control for flash memory device memories
US8400854B2 (en) 2009-09-11 2013-03-19 Sandisk Technologies Inc. Identifying at-risk data in non-volatile storage
US8174895B2 (en) 2009-12-15 2012-05-08 Sandisk Technologies Inc. Programming non-volatile storage with fast bit detection and verify skip
US8213255B2 (en) 2010-02-19 2012-07-03 Sandisk Technologies Inc. Non-volatile storage with temperature compensation based on neighbor state information
US9245653B2 (en) 2010-03-15 2016-01-26 Intelligent Intellectual Property Holdings 2 Llc Reduced level cell mode for non-volatile memory
US8279693B2 (en) * 2010-04-09 2012-10-02 Qualcomm Incorporated Programmable tracking circuit for tracking semiconductor memory read current
US8218366B2 (en) 2010-04-18 2012-07-10 Sandisk Technologies Inc. Programming non-volatile storage including reducing impact from other memory cells
US8546214B2 (en) 2010-04-22 2013-10-01 Sandisk Technologies Inc. P-type control gate in non-volatile storage and methods for forming same
US8274831B2 (en) 2010-05-24 2012-09-25 Sandisk Technologies Inc. Programming non-volatile storage with synchronized coupling
US8526237B2 (en) 2010-06-08 2013-09-03 Sandisk 3D Llc Non-volatile memory having 3D array of read/write elements and read/write circuits and method thereof
US8547720B2 (en) 2010-06-08 2013-10-01 Sandisk 3D Llc Non-volatile memory having 3D array of read/write elements with efficient decoding of vertical bit lines and word lines
US9170933B2 (en) 2010-06-28 2015-10-27 International Business Machines Corporation Wear-level of cells/pages/sub-pages/blocks of a memory
US8369156B2 (en) 2010-07-13 2013-02-05 Sandisk Technologies Inc. Fast random access to non-volatile storage
KR101806807B1 (ko) * 2010-11-08 2017-12-11 삼성전자주식회사 메모리 카드
US8837216B2 (en) 2010-12-13 2014-09-16 Sandisk Technologies Inc. Non-volatile storage system with shared bit lines connected to a single selection device
US8885381B2 (en) 2010-12-14 2014-11-11 Sandisk 3D Llc Three dimensional non-volatile storage with dual gated vertical select devices
US8824183B2 (en) 2010-12-14 2014-09-02 Sandisk 3D Llc Non-volatile memory having 3D array of read/write elements with vertical bit lines and select devices and methods thereof
US9227456B2 (en) 2010-12-14 2016-01-05 Sandisk 3D Llc Memories with cylindrical read/write stacks
KR101772951B1 (ko) 2011-03-10 2017-09-13 삼성전자주식회사 불 휘발성 메모리 장치 및 그것의 읽기 방법
US8667244B2 (en) 2011-03-21 2014-03-04 Hewlett-Packard Development Company, L.P. Methods, systems, and apparatus to prevent memory imprinting
KR20120122142A (ko) * 2011-04-28 2012-11-07 에스케이하이닉스 주식회사 비휘발성 메모리 장치 및 검증 방법
US8843693B2 (en) 2011-05-17 2014-09-23 SanDisk Technologies, Inc. Non-volatile memory and method with improved data scrambling
US8456911B2 (en) 2011-06-07 2013-06-04 Sandisk Technologies Inc. Intelligent shifting of read pass voltages for non-volatile storage
US8638606B2 (en) 2011-09-16 2014-01-28 Sandisk Technologies Inc. Substrate bias during program of non-volatile storage
US9361986B2 (en) 2011-09-19 2016-06-07 Sandisk Technologies Inc. High endurance non-volatile storage
US8406053B1 (en) 2011-09-21 2013-03-26 Sandisk Technologies Inc. On chip dynamic read for non-volatile storage
US8917554B2 (en) 2011-10-26 2014-12-23 Sandisk Technologies Inc. Back-biasing word line switch transistors
US9076544B2 (en) 2011-11-18 2015-07-07 Sandisk Technologies Inc. Operation for non-volatile storage system with shared bit lines
KR101904581B1 (ko) 2011-11-18 2018-10-04 샌디스크 테크놀로지스 엘엘씨 고장난 워드 라인 스크린 및 데이터 복원을 갖는 비휘발성 저장장치
KR101882853B1 (ko) * 2011-12-21 2018-08-27 에스케이하이닉스 주식회사 반도체 메모리 장치 및 그 동작 방법
US8797805B2 (en) 2011-12-22 2014-08-05 Micron Technology, Inc. Methods and apparatuses for determining threshold voltage shift
US8885404B2 (en) 2011-12-24 2014-11-11 Sandisk Technologies Inc. Non-volatile storage system with three layer floating gate
US8634239B2 (en) 2011-12-28 2014-01-21 Sandisk Technologies Inc. Hybrid multi-level cell programming sequences
US9269425B2 (en) 2011-12-30 2016-02-23 Sandisk 3D Llc Low forming voltage non-volatile storage device
US8582381B2 (en) 2012-02-23 2013-11-12 SanDisk Technologies, Inc. Temperature based compensation during verify operations for non-volatile storage
US8937835B2 (en) 2012-03-13 2015-01-20 Sandisk Technologies Inc. Non-volatile storage with read process that reduces disturb
US8902659B2 (en) 2012-03-26 2014-12-02 SanDisk Technologies, Inc. Shared-bit-line bit line setup scheme
US8638608B2 (en) 2012-03-26 2014-01-28 Sandisk Technologies Inc. Selected word line dependent select gate voltage during program
US8804430B2 (en) 2012-03-26 2014-08-12 Sandisk Technologies Inc. Selected word line dependent select gate diffusion region voltage during programming
US8804425B2 (en) 2012-03-26 2014-08-12 Sandisk Technologies Inc. Selected word line dependent programming voltage
US8937837B2 (en) 2012-05-08 2015-01-20 Sandisk Technologies Inc. Bit line BL isolation scheme during erase operation for non-volatile storage
US9171584B2 (en) 2012-05-15 2015-10-27 Sandisk 3D Llc Three dimensional non-volatile storage with interleaved vertical select devices above and below vertical bit lines
US9281029B2 (en) 2012-06-15 2016-03-08 Sandisk 3D Llc Non-volatile memory having 3D array architecture with bit line voltage control and methods thereof
US8923050B2 (en) 2012-06-15 2014-12-30 Sandisk 3D Llc 3D memory with vertical bit lines and staircase word lines and vertical switches and methods thereof
US9142305B2 (en) 2012-06-28 2015-09-22 Sandisk Technologies Inc. System to reduce stress on word line select transistor during erase operation
US9053819B2 (en) 2012-07-11 2015-06-09 Sandisk Technologies Inc. Programming method to tighten threshold voltage width with avoiding program disturb
US9036417B2 (en) 2012-09-06 2015-05-19 Sandisk Technologies Inc. On chip dynamic read level scan and error detection for nonvolatile storage
US20140071761A1 (en) 2012-09-10 2014-03-13 Sandisk Technologies Inc. Non-volatile storage with joint hard bit and soft bit reading
US20140108705A1 (en) 2012-10-12 2014-04-17 Sandisk Technologies Inc. Use of High Endurance Non-Volatile Memory for Read Acceleration
US9159406B2 (en) 2012-11-02 2015-10-13 Sandisk Technologies Inc. Single-level cell endurance improvement with pre-defined blocks
US9087601B2 (en) 2012-12-06 2015-07-21 Sandisk Technologies Inc. Select gate bias during program of non-volatile storage
US9076545B2 (en) 2013-01-17 2015-07-07 Sandisk Tecnologies Inc. Dynamic adjustment of read voltage levels based on memory cell threshold voltage distribution
US8913428B2 (en) 2013-01-25 2014-12-16 Sandisk Technologies Inc. Programming non-volatile storage system with multiple memory die
US9026757B2 (en) 2013-01-25 2015-05-05 Sandisk Technologies Inc. Non-volatile memory programming data preservation
US8885416B2 (en) 2013-01-30 2014-11-11 Sandisk Technologies Inc. Bit line current trip point modulation for reading nonvolatile storage elements
US8971128B2 (en) 2013-01-31 2015-03-03 Sandisk Technologies Inc. Adaptive initial program voltage for non-volatile memory
WO2014138124A1 (en) 2013-03-04 2014-09-12 Sandisk 3D Llc Vertical bit line non-volatile memory systems and methods of fabrication
US9411722B2 (en) 2013-03-04 2016-08-09 Sandisk Technologies Llc Asynchronous FIFO buffer for memory access
US9064547B2 (en) 2013-03-05 2015-06-23 Sandisk 3D Llc 3D non-volatile memory having low-current cells and methods
US9165933B2 (en) 2013-03-07 2015-10-20 Sandisk 3D Llc Vertical bit line TFT decoder for high voltage operation
US9349452B2 (en) 2013-03-07 2016-05-24 Sandisk Technologies Inc. Hybrid non-volatile memory cells for shared bit line
US9165656B2 (en) 2013-03-11 2015-10-20 Sandisk Technologies Inc. Non-volatile storage with shared bit lines and flat memory cells
US8879331B2 (en) 2013-03-12 2014-11-04 Sandisk Technologies Inc. Shared bit line string architecture
US8990668B2 (en) * 2013-03-14 2015-03-24 Western Digital Technologies, Inc. Decoding data stored in solid-state memory
US8988947B2 (en) 2013-03-25 2015-03-24 Sandisk Technologies Inc. Back bias during program verify of non-volatile storage
US9105468B2 (en) 2013-09-06 2015-08-11 Sandisk 3D Llc Vertical bit line wide band gap TFT decoder
US9424179B2 (en) * 2013-10-17 2016-08-23 Seagate Technology Llc Systems and methods for latency based data recycling in a solid state memory system
US9123414B2 (en) * 2013-11-22 2015-09-01 Micron Technology, Inc. Memory systems and memory programming methods
US9336875B2 (en) 2013-12-16 2016-05-10 Micron Technology, Inc. Memory systems and memory programming methods
US9129701B2 (en) 2013-12-19 2015-09-08 Sandisk Technologies Inc. Asymmetric state detection for non-volatile storage
US9362338B2 (en) 2014-03-03 2016-06-07 Sandisk Technologies Inc. Vertical thin film transistors in non-volatile storage systems
US9379246B2 (en) 2014-03-05 2016-06-28 Sandisk Technologies Inc. Vertical thin film transistor selection devices and methods of fabrication
US9123392B1 (en) 2014-03-28 2015-09-01 Sandisk 3D Llc Non-volatile 3D memory with cell-selectable word line decoding
US9627009B2 (en) 2014-07-25 2017-04-18 Sandisk Technologies Llc Interleaved grouped word lines for three dimensional non-volatile storage
KR102238592B1 (ko) 2014-08-08 2021-04-09 삼성전자주식회사 비휘발성 메모리 장치의 디폴트 독출 전압 설정 방법 및 비휘발성 메모리 장치의 데이터 독출 방법
US9983828B2 (en) 2014-10-09 2018-05-29 Sandisk Technologies Llc Health indicator of a storage device
US20160118135A1 (en) 2014-10-28 2016-04-28 Sandisk Technologies Inc. Two-strobe sensing for nonvolatile storage
US9443606B2 (en) 2014-10-28 2016-09-13 Sandisk Technologies Llc Word line dependent two strobe sensing mode for nonvolatile storage elements
US9251891B1 (en) * 2014-11-11 2016-02-02 Sandisk Technologies Inc. Devices and methods to conditionally send parameter values to non-volatile memory
US9947682B2 (en) 2014-11-18 2018-04-17 Sandisk Technologies Llc Three dimensional non-volatile memory with separate source lines
US20160189786A1 (en) 2014-12-24 2016-06-30 Sandisk Technologies Inc. Methods and apparatus for reducing read time for nonvolatile memory devices
US9543023B2 (en) 2015-01-23 2017-01-10 Sandisk Technologies Llc Partial block erase for block programming in non-volatile memory
US20160300620A1 (en) 2015-04-08 2016-10-13 Sandisk Technologies Inc. Multiple bit line voltage sensing for non-volatile memory
US9450023B1 (en) 2015-04-08 2016-09-20 Sandisk Technologies Llc Vertical bit line non-volatile memory with recessed word lines
US9595317B2 (en) 2015-05-28 2017-03-14 Sandisk Technologies Llc Multi-state programming for non-volatile memory
US9996299B2 (en) 2015-06-25 2018-06-12 Western Digital Technologies, Inc Memory health monitoring
US9801286B2 (en) 2015-09-28 2017-10-24 Tactotek Oy Multilayer structure and related method of manufacture for electronics
US9715924B2 (en) 2015-10-22 2017-07-25 Sandisk Technologies Llc Three dimensional non-volatile memory with current sensing programming status
KR20180026022A (ko) 2016-09-01 2018-03-12 삼성전자주식회사 스토리지 장치 및 그것의 카피백 방법
US9753806B1 (en) 2016-10-14 2017-09-05 International Business Machines Corporation Implementing signal integrity fail recovery and mainline calibration for DRAM
US10481999B2 (en) * 2016-12-05 2019-11-19 Microsoft Technology Licensing, Llc Partial process recording
US9842657B1 (en) 2017-05-18 2017-12-12 Sandisk Technologies Llc Multi-state program using controlled weak boosting for non-volatile memory
CN107181454B (zh) * 2017-05-26 2023-03-21 重庆科技学院 路径延长轨道及其太阳能板间连接轨道
US10304550B1 (en) 2017-11-29 2019-05-28 Sandisk Technologies Llc Sense amplifier with negative threshold sensing for non-volatile memory
JP2019153366A (ja) * 2018-03-06 2019-09-12 東芝メモリ株式会社 メモリシステム、読み出し方法、プログラム、およびメモリコントローラ
US10607664B2 (en) * 2018-03-22 2020-03-31 Micron Technology, Inc. Sub-threshold voltage leakage current tracking
JP7023204B2 (ja) * 2018-09-14 2022-02-21 キオクシア株式会社 メモリシステムおよびメモリシステムの制御方法
US10643695B1 (en) 2019-01-10 2020-05-05 Sandisk Technologies Llc Concurrent multi-state program verify for non-volatile memory
US11024392B1 (en) 2019-12-23 2021-06-01 Sandisk Technologies Llc Sense amplifier for bidirectional sensing of memory cells of a non-volatile memory
US11081162B1 (en) 2020-02-24 2021-08-03 Sandisk Technologies Llc Source side precharge and boosting improvement for reverse order program
US11704234B2 (en) * 2020-04-28 2023-07-18 Silicon Motion, Inc. Method for accessing flash memory module and associated package
US10998041B1 (en) 2020-05-07 2021-05-04 Western Digital Technologies, Inc. Calibrating non-volatile memory read thresholds
US11527300B2 (en) 2020-08-26 2022-12-13 Western Digital Technologies, Inc. Level dependent error correction code protection in multi-level non-volatile memory
US11436083B2 (en) 2020-09-04 2022-09-06 Western Digital Technologies, Inc. Data address management in non-volatile memory
US20210117270A1 (en) * 2020-12-24 2021-04-22 Intel Corporation Overcoming error correction coding mis-corrects in non-volatile memory
CN114978478A (zh) * 2021-02-19 2022-08-30 联华电子股份有限公司 物理不可复制函数电路及其操作方法以及半导体芯片
US11557358B2 (en) 2021-04-15 2023-01-17 Sandisk Technologies Llc Memory apparatus and method of operation using adaptive erase time compensation for segmented erase

Family Cites Families (98)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US494307A (en) * 1893-03-28 William sayer
IT1221018B (it) 1985-03-28 1990-06-21 Giulio Casagrande Dispositivo per verificare celle di memoria in funzione del salto di soglia ottenibile in fase di scrittura
IT1221780B (it) 1988-01-29 1990-07-12 Sgs Thomson Microelectronics Circuito di rilevamento dello stato di celle di matrice in memorie eprom in tecnologia mos
US5095344A (en) 1988-06-08 1992-03-10 Eliyahou Harari Highly compact eprom and flash eeprom devices
JPH0713877B2 (ja) 1988-10-19 1995-02-15 株式会社東芝 半導体メモリ
JPH0814994B2 (ja) 1989-01-13 1996-02-14 株式会社東芝 半導体記憶装置
IT1228822B (it) 1989-03-23 1991-07-04 Sgs Thomson Microelectronics Cella di riferimento per la lettura di dispositivi di memoria eeprom.
US5172338B1 (en) 1989-04-13 1997-07-08 Sandisk Corp Multi-state eeprom read and write circuits and techniques
EP0617363B1 (en) 1989-04-13 2000-01-26 SanDisk Corporation Defective cell substitution in EEprom array
US5293345A (en) 1989-06-12 1994-03-08 Kabushiki Kaisha Toshiba Semiconductor memory device having a data detection circuit with two reference potentials
US5198997A (en) 1989-08-11 1993-03-30 Sony Corporation Ultraviolet erasable nonvolatile memory with current mirror circuit type sense amplifier
EP0424172B1 (en) 1989-10-20 1995-01-18 Fujitsu Limited Nonvolatile semiconductor memory apparatus
IT1244293B (it) 1990-07-06 1994-07-08 Sgs Thomson Microelectronics Dispositivo di lettura per celle eprom con campo operativo indipendente dal salto di soglia delle celle scritte rispetto alle celle vergini
IT1247650B (it) 1990-10-31 1994-12-28 Sgs Thomson Microelectronics Memoria flash eprom con aumentata immunita' da soft programming su una linea di riferimento
US5383070A (en) * 1990-11-21 1995-01-17 Bond; Charles R. Method and device for measuring disk drive alignment
JP3454520B2 (ja) 1990-11-30 2003-10-06 インテル・コーポレーション フラッシュ記憶装置の書込み状態を確認する回路及びその方法
US5287315A (en) 1991-01-31 1994-02-15 Texas Instruments Incorporated Skewed reference to improve ones and zeros in EPROM arrays
US6002614A (en) 1991-02-08 1999-12-14 Btg International Inc. Memory apparatus including programmable non-volatile multi-bit memory cell, and apparatus and method for demarcating memory states of the cell
US5504760A (en) 1991-03-15 1996-04-02 Sandisk Corporation Mixed data encoding EEPROM system
US5270979A (en) 1991-03-15 1993-12-14 Sundisk Corporation Method for optimum erasing of EEPROM
JPH04291940A (ja) 1991-03-20 1992-10-16 Toshiba Corp 不揮発性メモリ
CA2106844C (en) * 1991-03-28 1998-12-01 John David Brownlie Tcm scheme with fractional bit rates, framing signals and constellation shaping
US5142496A (en) 1991-06-03 1992-08-25 Advanced Micro Devices, Inc. Method for measuring VT 's less than zero without applying negative voltages
DE69222712T2 (de) 1991-07-25 1998-02-12 St Microelectronics Srl Leseverstärker für programmierbare Speicher mit einer virtuell verbesserten Signalquelle
US5313421A (en) 1992-01-14 1994-05-17 Sundisk Corporation EEPROM with split gate source side injection
US6222762B1 (en) 1992-01-14 2001-04-24 Sandisk Corporation Multi-state memory
US5712180A (en) 1992-01-14 1998-01-27 Sundisk Corporation EEPROM with split gate source side injection
US5657332A (en) 1992-05-20 1997-08-12 Sandisk Corporation Soft errors handling in EEPROM devices
US5532962A (en) 1992-05-20 1996-07-02 Sandisk Corporation Soft errors handling in EEPROM devices
US5428621A (en) 1992-09-21 1995-06-27 Sundisk Corporation Latent defect handling in EEPROM devices
US5386132A (en) 1992-11-02 1995-01-31 Wong; Chun C. D. Multimedia storage system with highly compact memory device
US5712189A (en) 1993-04-30 1998-01-27 Texas Instruments Incorporated Epitaxial overgrowth method
US5463586A (en) 1993-05-28 1995-10-31 Macronix International Co., Ltd. Erase and program verification circuit for non-volatile memory
US5608676A (en) 1993-08-31 1997-03-04 Crystal Semiconductor Corporation Current limited current reference for non-volatile memory sensing
US5828601A (en) 1993-12-01 1998-10-27 Advanced Micro Devices, Inc. Programmed reference
GB9401227D0 (en) 1994-01-22 1994-03-16 Deas Alexander R Non-volatile digital memory device with multi-level storage cells
US5493533A (en) 1994-09-28 1996-02-20 Atmel Corporation Dual differential trans-impedance sense amplifier and method
US5532623A (en) 1994-10-21 1996-07-02 Waferscale Integration, Inc. Sense amplifier with read current tracking and zero standby power consumption
US5684739A (en) 1994-11-30 1997-11-04 Nkk Corporation Apparatus and method for determining current or voltage of a semiconductor device
US5802166A (en) 1994-11-30 1998-09-01 Sni Innovation, Inc. Dual signal triggered message waiting notification system for indicating storage of different types of messages awaiting retrieval
US5537358A (en) 1994-12-06 1996-07-16 National Semiconductor Corporation Flash memory having adaptive sensing and method
US5541886A (en) 1994-12-27 1996-07-30 Intel Corporation Method and apparatus for storing control information in multi-bit non-volatile memory arrays
TW388982B (en) * 1995-03-31 2000-05-01 Samsung Electronics Co Ltd Memory controller which executes read and write commands out of order
US5687114A (en) 1995-10-06 1997-11-11 Agate Semiconductor, Inc. Integrated circuit for storage and retrieval of multiple digital bits per nonvolatile memory cell
US5629892A (en) 1995-10-16 1997-05-13 Advanced Micro Devices, Inc. Flash EEPROM memory with separate reference array
KR0172533B1 (ko) 1995-10-18 1999-03-30 김주용 플래쉬 메모리 장치
KR0172364B1 (ko) 1995-11-06 1999-03-30 김광호 불휘발성 반도체 메모리의 기준셀을 이용한 소거검증 방법
JPH09139089A (ja) 1995-11-13 1997-05-27 Sony Corp 強誘電体記憶装置
JP3581207B2 (ja) 1996-02-13 2004-10-27 株式会社東芝 不揮発性半導体メモリ
TW338158B (en) 1996-02-29 1998-08-11 Sanyo Electric Co Non volatile semiconductor memory device
JPH09270195A (ja) 1996-04-02 1997-10-14 Sharp Corp 半導体記憶装置
EP0805454A1 (en) 1996-04-30 1997-11-05 STMicroelectronics S.r.l. Sensing circuit for reading and verifying the content of a memory cell
WO2004090908A1 (ja) 1996-06-11 2004-10-21 Nobuyoshi Takeuchi ベリファイ機能を有する不揮発性記憶装置
DE69630024D1 (de) 1996-06-18 2003-10-23 St Microelectronics Srl Nichtflüchtiger Speicher mit Einzelzellenreferenzsignalgeneratorschaltung zum Auslesen von Speicherzellen
DE69631123D1 (de) 1996-06-18 2004-01-29 St Microelectronics Srl Verfahren und Schaltung zum Lesen von nichtflüchtigen Speicherzellen mit niedriger Versorgungsspannung
DE69702256T2 (de) 1996-06-24 2001-01-18 Advanced Micro Devices Inc Verfahren für einen merhfachen, bits pro zelle flash eeprom, speicher mit seitenprogrammierungsmodus und leseverfahren
WO1998003978A1 (fr) 1996-07-18 1998-01-29 Nkk Corporation Dispositif de reference, procede de fixation d'un niveau de reference, procede d'autodiagnostic et memoire semi-conductrice non volatile
JPH10302486A (ja) 1996-08-30 1998-11-13 Sanyo Electric Co Ltd 半導体記憶装置
US5864569A (en) 1996-10-18 1999-01-26 Micron Technology, Inc. Method and apparatus for performing error correction on data read from a multistate memory
US5790453A (en) 1996-10-24 1998-08-04 Micron Quantum Devices, Inc. Apparatus and method for reading state of multistate non-volatile memory cells
US5764568A (en) 1996-10-24 1998-06-09 Micron Quantum Devices, Inc. Method for performing analog over-program and under-program detection for a multistate memory cell
JPH10134587A (ja) 1996-10-29 1998-05-22 Sony Corp 不揮発性半導体記憶装置
US5774395A (en) 1996-11-27 1998-06-30 Advanced Micro Devices, Inc. Electrically erasable reference cell for accurately determining threshold voltage of a non-volatile memory at a plurality of threshold voltage levels
FR2760888B1 (fr) 1997-03-11 1999-05-07 Sgs Thomson Microelectronics Circuit de lecture pour memoire adapte a la mesure des courants de fuite
DE69723227T2 (de) 1997-04-14 2004-06-03 Stmicroelectronics S.R.L., Agrate Brianza Hochpräzisionsanalogleseschaltkreis für Speichermatrizen, insbesondere für Flash-Analogspeichermatrizen
JP3169858B2 (ja) 1997-06-20 2001-05-28 日本電気アイシーマイコンシステム株式会社 多値型半導体記憶装置
JP3039458B2 (ja) 1997-07-07 2000-05-08 日本電気株式会社 不揮発性半導体メモリ
IT1293644B1 (it) 1997-07-25 1999-03-08 Sgs Thomson Microelectronics Circuito e metodo di lettura di celle di una matrice di memoria analogica, in particolare di tipo flash
JPH1166875A (ja) 1997-08-18 1999-03-09 Fujitsu Ltd 半導体記憶回路
JP3730373B2 (ja) 1997-09-02 2006-01-05 株式会社東芝 半導体記憶装置
JPH11213684A (ja) 1998-01-28 1999-08-06 Toshiba Corp 不揮発性半導体メモリ
EP0936627B1 (en) 1998-02-13 2004-10-20 STMicroelectronics S.r.l. Low voltage non volatile memory sense amplifier
JPH11270195A (ja) 1998-03-20 1999-10-05 Ube Nitto Kasei Co Ltd 張設体用挟持部材及び張設体の設置方法
JPH11274437A (ja) 1998-03-26 1999-10-08 Sanyo Electric Co Ltd 不揮発性半導体メモリ装置
FR2778012B1 (fr) 1998-04-28 2001-09-28 Sgs Thomson Microelectronics Dispositif et procede de lecture de cellules de memoire eeprom
US5966330A (en) 1998-04-30 1999-10-12 Eon Silicon Devices, Inc. Method and apparatus for measuring the threshold voltage of flash EEPROM memory cells being applied a variable control gate bias
DE69820594D1 (de) 1998-05-29 2004-01-29 St Microelectronics Srl Anordnung und Verfahren zum Lesen von nichtflüchtigen Speicherzellen
DE69832164T2 (de) 1998-08-07 2006-08-17 Stmicroelectronics S.R.L., Agrate Brianza Ausleseanordnung für Multibit-Halbleiterspeicheranordnung
JP3588553B2 (ja) 1998-08-13 2004-11-10 株式会社東芝 不揮発性半導体メモリ
DE69828966D1 (de) 1998-09-15 2005-03-17 St Microelectronics Srl Verfahren zum Schutz des Inhalts nichtflüchtiger Speicherzellen
US5936906A (en) 1998-10-29 1999-08-10 Winbond Electronics Corp. Multilevel sense device for a flash memory
US6282145B1 (en) 1999-01-14 2001-08-28 Silicon Storage Technology, Inc. Array architecture and operating methods for digital multilevel nonvolatile memory integrated circuit system
US6381659B2 (en) * 1999-01-19 2002-04-30 Maxtor Corporation Method and circuit for controlling a first-in-first-out (FIFO) buffer using a bank of FIFO address registers capturing and saving beginning and ending write-pointer addresses
US6094368A (en) 1999-03-04 2000-07-25 Invox Technology Auto-tracking write and read processes for multi-bit-per-cell non-volatile memories
US6103573A (en) 1999-06-30 2000-08-15 Sandisk Corporation Processing techniques for making a dual floating gate EEPROM cell array
US6151248A (en) 1999-06-30 2000-11-21 Sandisk Corporation Dual floating gate EEPROM cell array with steering gates shared by adjacent cells
US6188615B1 (en) 1999-10-29 2001-02-13 Hewlett-Packard Company MRAM device including digital sense amplifiers
US6314014B1 (en) 1999-12-16 2001-11-06 Ovonyx, Inc. Programmable resistance memory arrays with reference cells
US6426893B1 (en) 2000-02-17 2002-07-30 Sandisk Corporation Flash eeprom system with simultaneous multiple data sector programming and storage of physical block characteristics in other designated blocks
JP4291940B2 (ja) 2000-08-11 2009-07-08 本田技研工業株式会社 Dohc型エンジン
US6512263B1 (en) 2000-09-22 2003-01-28 Sandisk Corporation Non-volatile memory cell array having discontinuous source and drain diffusions contacted by continuous bit line conductors and methods of forming
US6538922B1 (en) * 2000-09-27 2003-03-25 Sandisk Corporation Writable tracking cells
US6349056B1 (en) 2000-12-28 2002-02-19 Sandisk Corporation Method and structure for efficient data verification operation for non-volatile memories
US6678192B2 (en) 2001-11-02 2004-01-13 Sandisk Corporation Error management for writable tracking storage units
US6621739B2 (en) * 2002-01-18 2003-09-16 Sandisk Corporation Reducing the effects of noise in non-volatile memories through multiple reads
US6751766B2 (en) * 2002-05-20 2004-06-15 Sandisk Corporation Increasing the effectiveness of error correction codes and operating multi-level memory systems by using information about the quality of the stored data
US7237074B2 (en) * 2003-06-13 2007-06-26 Sandisk Corporation Tracking cells for a memory system
US7020017B2 (en) * 2004-04-06 2006-03-28 Sandisk Corporation Variable programming of non-volatile memory

Also Published As

Publication number Publication date
US20110141816A1 (en) 2011-06-16
US8072817B2 (en) 2011-12-06
TWI244089B (en) 2005-11-21
KR101049580B1 (ko) 2011-07-15
US7760555B2 (en) 2010-07-20
CN1826659B (zh) 2013-04-17
ATE535912T1 (de) 2011-12-15
EP1636802B1 (en) 2011-11-30
EP1636802A2 (en) 2006-03-22
KR20060025551A (ko) 2006-03-21
TW200502971A (en) 2005-01-16
US20100202199A1 (en) 2010-08-12
WO2004114313A2 (en) 2004-12-29
US7237074B2 (en) 2007-06-26
WO2004114313A3 (en) 2005-03-17
US20070217259A1 (en) 2007-09-20
US7681094B2 (en) 2010-03-16
US7916552B2 (en) 2011-03-29
US20070226434A1 (en) 2007-09-27
JP2007500918A (ja) 2007-01-18
US20040255090A1 (en) 2004-12-16
CN1826659A (zh) 2006-08-30

Similar Documents

Publication Publication Date Title
JP4681559B2 (ja) メモリ・システムのトラッキング・セル
KR100992985B1 (ko) 쓰기/삭제 중단 검출 메카니즘을 갖는 플래시 저장 시스템
EP2154687B1 (en) Erased sector detection mechanisms
US7085161B2 (en) Non-volatile semiconductor memory with large erase blocks storing cycle counts
JP5596791B2 (ja) エラーを管理するための書き込み後読み出しおよび適応再書き込みを伴う不揮発性メモリおよび方法
US9263142B2 (en) Programming a memory cell using a dual polarity charge pump
US9136022B2 (en) Selection of data for redundancy calculation by likely error rate
JP5198717B2 (ja) 不十分なサブスレッショルド勾配または弱いトランスコンダクタンスによって不揮発性メモリ素子を識別する方法。
KR20160026832A (ko) 3차원 메모리의 적응형 동작
JP2013509667A (ja) エラーを管理するための書き込み後読み出しおよび適応再書き込みを伴う不揮発性メモリおよび方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20061016

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070312

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070312

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20091111

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20091201

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100226

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100305

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100330

A524 Written submission of copy of amendment under article 19 pct

Free format text: JAPANESE INTERMEDIATE CODE: A524

Effective date: 20100330

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110118

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110204

R150 Certificate of patent or registration of utility model

Ref document number: 4681559

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140210

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140210

Year of fee payment: 3

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140210

Year of fee payment: 3

R360 Written notification for declining of transfer of rights

Free format text: JAPANESE INTERMEDIATE CODE: R360

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140210

Year of fee payment: 3

R370 Written measure of declining of transfer procedure

Free format text: JAPANESE INTERMEDIATE CODE: R370

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140210

Year of fee payment: 3

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees