JP4603136B2 - 基板をエッチングする装置及び方法 - Google Patents

基板をエッチングする装置及び方法 Download PDF

Info

Publication number
JP4603136B2
JP4603136B2 JP2000245286A JP2000245286A JP4603136B2 JP 4603136 B2 JP4603136 B2 JP 4603136B2 JP 2000245286 A JP2000245286 A JP 2000245286A JP 2000245286 A JP2000245286 A JP 2000245286A JP 4603136 B2 JP4603136 B2 JP 4603136B2
Authority
JP
Japan
Prior art keywords
substrate
wafer
etchant
nozzles
peripheral portion
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2000245286A
Other languages
English (en)
Other versions
JP2001135612A (ja
Inventor
スティーヴンス ジョー
オルガード ドナルド
エス コー アレクサンダー
ファイ エドウィン モク イェウク
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2001135612A publication Critical patent/JP2001135612A/ja
Application granted granted Critical
Publication of JP4603136B2 publication Critical patent/JP4603136B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • H01L21/6708Apparatus for fluid treatment for etching for wet etching using mainly spraying means, e.g. nozzles
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S134/00Cleaning and liquid contact with solids
    • Y10S134/902Semiconductor wafer

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Weting (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Description

【0001】
【発明の属する技術分野】
本発明は、電気・化学堆積、または電気めっき装置に関する。より詳しく述べれば、本発明は、基板の周縁部分から堆積物を除去するための装置に関する。
【0002】
【従来の技術】
サブクォーターミクロン多レベル金属化は、次世代の超大規模集積(ULSI)にとってキー技術の1つである。この技術の中核をなしている多レベル相互接続は、高アスペクト比の開口内に形成されているコンタクト、バイア、ライン、その他の特色を含む相互接続特色を平面化する必要がある。これらの相互接続特色を信頼できるように形成させることは、ULSIの成功にとって、及び個々の基板及びダイス上の回路密度を増加させ、品質を向上させる絶えざる努力にとって極めて重要である。
【0003】
回路密度が増加し、バイア、コンタクトその他の特色、並びにそれらの間の誘電体材料の幅が250ナノメートル以下に減少する一方で、誘電体層の厚みは実質的に一定に保たれているために、特色のアスペクト比、即ちそれらの高さを幅で除した値は増加してきている。物理蒸着(PVD)及び化学蒸着(CVD)のような多くの伝統的な堆積プロセスでは、4:1を越える、特にそれが10:1を越えるようなアスペクト比の構造を充填するのは困難であった。従って、現在も、高アスペクト比(特色の高さと特色の幅の比が4:1またはそれ以上であることができる)を有し、ボイドの無いナノメートルサイズの特色を形成させるべく、多大な努力が払われている。更に、特色の幅が減少しているにも拘わらず、デバイスの電流は一定か、もしくは増加しており、そのために特色内の電流密度が増加してきている。
【0004】
アルミニウムは固有電気抵抗が低く、二酸化シリコン(SiO2)への付着が優れ、パターン化が容易であり、そしてそれを高度に純粋な形で入手できることから、半導体処理においてライン及びプラグを形成させるために使用される金属は伝統的に元素アルミニウム(Al)及びその合金であった。しかしながら、アルミニウムは、銅のような他のより導電性の金属よりも固有電気抵抗が高く、またアルミニウムは電気移動を受けて導体内にボイドを形成し易い。
【0005】
銅及びその合金はアルミニウムよりも抵抗率が低く、エレクトロマイグレーション抵抗はアルミニウムと比較してかなり高い。これらの特性は、集積レベルを高くし、デバイス速度を増加させた場合に経験する大きい電流密度を支えるために重要である。また銅は良好な熱伝導率を有しており、高純度状態で入手可能である。従って、半導体基板上のサブクォーターミクロンで高アスペクト比の相互接続特色を充填するための金属として、銅が選択され始めている。
【0006】
半導体デバイスの製造にとって銅を使用することが望ましいにも拘わらず、0.35μ(または、それ以下)幅のバイアを有し、4:1のような極めて高いアスペクト比の特色内に銅を堆積させるための製造方法の選択は制限されている。これらのプロセスが制限されている結果として、従来は回路基板上のラインの製造に制限されていためっきが、半導体デバイス上のバイア及びコンタクトを充填するために使用され始めてきた。
【0007】
金属電気めっきは公知であり、いろいろな技術によって達成することができる。典型的な方法は、特色表面の上にバリヤー層を物理蒸着させるステップと、このバリヤー層の上に導電性金属シード層(好ましくは銅)を物理蒸着させるステップと、このシード層の上に導電性金属を電気めっきして構造/特色を充填するステップとからなる。最後に、堆積された層及び誘電体層を、化学・機械研磨(CMP)による等で平面化し、導電性相互接続特色を限定する。
【0008】
図1は、コンタクトピンを組み込んだ典型的な噴流(ファウンテン)めっき装置10の簡易断面図である。一般的に噴流めっき装置10は、トップ開口を有する電解液容器12と、電解液容器12上に配置されている基板ホールダ14と、電解液容器12の底部分に配置されている陽極16と、基板22と接触するコンタクトリング20とを含んでいる。基板ホールダ14の下面には複数の溝24が形成されている。真空ポンプ(図示してない)が基板ホールダ14に結合され、溝24と通じていて、処理中に基板ホールダ24に基板22を確保することができる真空状態を発生する。コンタクトリング20は、基板22の周縁部分の周りに分布する複数の金属または半金属コンタクトピン26を含んでいて、基板中央のめっき表面を限定している。これらの複数のコンタクトピン26は、半径方向内向きに基板22の狭い周縁部分の上に伸び、コンタクトピン26のチップが基板22の導電性シード層に接触する。電源(図示してない)がピン26に接続され、それによって基板22を電気的にバイアスする。基板22は円筒形電解液容器12の上に位置決めされ、セル10の動作中に電解液の流れを基板めっき表面に直角に衝突させるようになっている。
【0009】
現在の電気めっきプロセスが当面している1つの特定の問題は、電気めっきプロセス中にシード層のエッジが過剰量の堆積を受けることであり、これは典型的にエッジビードと呼ばれている。図2は、ウェーハ30のあるエッジの断面図であって、シード層34のエッジ32における過剰堆積物36を示している。図2に示すように、ウェーハ30はその上に堆積されたシード層32を有しており、電気めっき層38はこのシード層34の上に電気・化学的に堆積されている。シード層34のエッジ32が受ける電流密度は残余のシード層34よりも高く、シード層34のエッジ32における堆積レートが高くなることが解っている。シード層34のエッジ32における機械的応力も残余のシード層よりも高く、シード層のエッジにおける堆積がウェーハ30のエッジを上方へ、そして遠去かるように引っ張る。過剰堆積物36は、典型的には、CMPプロセスによって除去される。しかしながら、CMPプロセス中に、ウェーハのエッジにおける過剰堆積物が典型的にはシード層のエッジから剥がれ落ち、ウェーハの隣接部分を破損させる恐れがある。破砕金属もウェーハ上に形成されたデバイスを破損させる恐れがある。従って、適切に形成されるデバイスの数が減少し、形成されるデバイス当たりのコストが増加することになる。
【0010】
従って、ウェーハのエッジにおける過剰堆積物を除去する装置に対する要望が存在している。好ましくは、この装置は、ウェーハ表面上に形成されたデバイスを破損させることなく、ウェーハのエッジにおける過剰堆積物を除去する。この装置が、ウェーハから過剰堆積物を除去した後に、スピン・洗浄・乾燥プロセスのようなウェーハ洗浄プロセスを遂行するようになっていることも望ましい。
【0011】
【発明の概要】
本発明は、一般的にはウェーハのエッジにおける堆積物を除去する装置及び方法を提供する。本発明による装置は、ウェーハ表面上に形成されたデバイスを破損させることなくウェーハのエッジにおける堆積物を除去する。
【0012】
本発明の1つの面は基板をエッチングする装置を提供し、本装置は、容器、容器内に配置されている基板支持体、基板支持体に取付けられている回転アクチュエータ、及び容器内に配置され、基板支持体上に配置されている基板の周縁部分にエッチング液を送給する流体送給アセンブリを備えている。好ましくは、基板支持体は真空チャックを備え、流体送給アセンブリは1つまたはそれ以上のノズルを含んでいる。
【0013】
本発明の別の面は基板をエッチングする方法を提供し、本方法は、回転可能な基板支持体上に位置決めされている基板を回転させるステップ、及び基板の周縁部分にエッチング液を送給するステップを含む。好ましくは、基板を約100rpm乃至約1000rpmで回転させ、エッチング液は基板の周縁部分に実質的に接線方向に、且つ基板の表面から約0°乃至約45°の入射角で送給する。
【0014】
本発明の別の面はウェーハのエッジにおける堆積物を除去する装置を提供し、本装置は、ウェーハから過剰堆積物を除去した後に、スピン・洗浄・乾燥プロセスのようなウェーハ洗浄プロセスを遂行するようになっている。本装置は、容器、容器内に配置されている基板支持体、基板支持体に取付けられている回転アクチュエータ、及び容器内に配置され、基板支持体上に配置されている基板の周縁部分にエッチング液を、また基板の表面に脱イオン水のような洗浄用流体を選択的に送給する流体送給アセンブリを備えている。
【0015】
本発明の上述した特徴、長所、及び目的を詳細に理解するために、以上に概要説明した本発明を、以下に添付図面に基づいてその実施の形態に関して詳細に説明する。
【0016】
しかしながら、添付図面は単に本発明の典型的な実施の形態を示しているに過ぎず、本発明は他の同じような実施の形態にも適用できることから、本発明の範囲を限定する意図はないことを理解されたい。
【0017】
図3は、本発明のエッジビード除去(EBR)モジュールの側断面図であって、基板のエッジから過剰堆積物を除去するために処理位置内に配置されている基板を示している。EBRモジュール100は独立型ユニットであることも、電気・化学堆積システムまたは他の堆積システムの一成分として配置することもできる。EBRモジュール100は、容器102、ウェーハホールダアセンブリ104、及び流体/薬品送給アセンブリ106を備えている。容器102は、好ましくは、円筒形側壁108、中心開口112を有する容器底110、及び中心開口112の周縁エッジから上方に伸びる上向き内壁114を含む。流体出口116が容器底110に接続されていて、EBRモジュール100からの使用済みの流体及び薬品の排出を容易にしている。
【0018】
ウェーハホールダアセンブリ104が中心開口112の上に配置されており、中心開口112を通って伸びているリフトアセンブリ118及び回転アセンブリ120を含んでいる。リフトアセンブリ118は、好ましくは、当分野においては公知で、市販されているベローズ型リフト、または親ねじステッパモータ型リフトアセンブリからなる。リフトアセンブリ118は、いろいろな垂直位置の間でウェーハホールダアセンブリ104上のウェーハ122の転送及び位置決めを容易にする。回転アセンブリ120は、好ましくは、リフトアセンブリの下に取付けられている回転モータからなる。回転アセンブリ120は、エッジビード除去プロセス中にウェーハ122を回転させる。
【0019】
ウェーハホールダアセンブリ104は、好ましくは、ウェーハ122をウェーハの裏側から確保し、ウェーハエッジ126を妨害しない真空チャック124を備えている。好ましくは、圧縮可能なOリングのような環状シール128を真空チャック表面の周縁部分に配置し、エッジビード除去プロセス中に使用される流体及び薬品から真空チャック124をシールする。ウェーハホールダアセンブリ104は、好ましくは、転送ロボットのロボットアームからウェーハホールダアセンブリ104上までウェーハの転送を容易にする。ウェーハリフト130は、図3に示すように、スピン・洗浄・乾燥プロセス中にウェーハを確保するためにも使用されるスパイダクリップアセンブリを備えている。スパイダクリップアセンブリは、環状ベース136から伸びる複数のアーム134、及びアーム134の先端にピボット可能に配置されているスパイダクリップ138を備えている。環状ベース136は、上向き内壁114と重なり合うように下向きに伸びる壁237を含み、これらの壁は処理中に、使用される流体を容器102の内側に閉じ込める。スパイダクリップ138は、ウェーハを受入れる上面、ウェーハを締付けるクランプ部分142、及びウェーハホールダアセンブリが回転した時に遠心力によりクランプ部分142をウェーハのエッジに係合させる下側部分144を含んでいる。代替として、ウェーハリフト130は、真空チャックボディ内の、または該ボディの周りのリフトプラットフォームまたはリフトリング上に配置されている1組のリフトピンまたはリフトフープのような、いろいろなウェーハ処理装置内で共通使用されるウェーハリフトからなる。
【0020】
流体/薬品送給アセンブリ106は、1つまたはそれ以上のディスペンスアーム152上に配置されている1つまたはそれ以上のノズル150を備えている。ディスペンスアーム152は、容器の側壁108を通って伸び、アクチュエータ154に取付けられている。アクチュエータ154は伸縮して、基板122の上のノズル150の位置を変化させる。伸張可能なディスペンスアーム152を使用したことによって、ノズルは、ノズルがウェーハの上をウェーハの内側部分からウェーハのエッジを指し示すように位置決めすることができ、ウェーハエッジへのエッチング液/流体の送給の制御を強化することができる。代替として、ディスペンスアーム152を容器の側壁108に固定的に取付け、容器102内のウェーハの垂直運動を妨害しないようにノズル150をディスペンスアームの適所に確保する。
【0021】
好ましくは、ディスペンスアーム152は、ディスペンスアームを通って伸びていてノズル150をエッチング液の源に接続するための1つまたはそれ以上の導管を含む。堆積した金属を基板から除去するためのいろいろなエッチング液が知られており、硝酸その他の酸が市販されている。代替として、ノズル150は、ディスペンスアーム152内の導管を通って配置されている柔軟な管156を通して接続される。好ましくは、ノズル150は、流体/薬品をそれぞれウェーハの上側エッジ表面及び下側エッジ表面に送給するために、ウェーハの上及び下の位置に対にされた配列で配置されている。ノズル150は、脱イオン水の源160及びエッチング液の源162のような1つまたはそれ以上の薬品/流体の源に選択的に接続することができ、コンピュータ制御装置164は、所望のプログラムに従って1つまたはそれ以上の流体/薬品の源の間で接続を切り替える。代替として、第1の組のノズルを脱イオン水の源に接続し、第2の組のノズルをエッチング液の源に接続して、これらのノズルを選択的に作動させて流体をウェーハに送給する。
【0022】
好ましくは、ノズル150は、流体をウェーハの周縁部分付近に実質的に接線方向に送給するような角度に配置する。図4は、EBRモジュールの概要上面図であって、エッジビード除去のためのノズル位置の1つの実施の形態を示している。図示のように、3つのノズル150が容器の側壁108の内面の周りに実質的に等間隔に配置されている。各ノズル150は、ウェーハのエッジ部分に流体を供給するように配列されており、処理位置と転送位置との間でウェーハが垂直に運動できるような十分な間隔が得られるように位置決めされている。好ましくは、流体の送給またはスプレーパターンは、流体の送給を選択されたエッジ除外範囲に制限するように、ノズルの形状及び流体の圧力によって制御する。例えばエッチング液は、3mmのエッジ除外を達成するために、ウェーハの外側3mmの環状部分に制限する。ノズルは、エッチング液がウェーハと接触する際のエッチング液の跳ね返りを制御するために、エッチング液をウェーハの表面に対してある入射角で供給するように位置決めされている。図5は、処理中のウェーハ122に対して配置されているノズル150の側面図である。好ましくは、ウェーハに対するエッチング液の入射角αは約0°乃至約45°であり、より好ましくは約10°乃至約30°である。
【0023】
ウェーハ122は、ウェーハの周縁部分がエッチング液に実質的に平等に露出されるように、エッジビード除去プロセス中に回転させる。好ましくは、制御されたエッジビード除去を容易にするために、ウェーハ122はエッチング液スプレーパターンの方向と同一方向に回転させる。例えば、図4に示すように、ウェーハは、反時計方向のスプレーパターンに対応して反時計方向(矢印A)に回転させる。ウェーハは、好ましくは約100rpm乃至約1000rpmで、より好ましくは約500rpm乃至約700rpmで回転させる。実効エッチングレート(即ち、除去される銅の量を、除去に要した時間で除した値)は、エッチング液のエッチングレート、ウェーハエッジに接触するエッチング液の速度、エッチング液の温度、及びウェーハの回転速度の関数である。これらのパラメタは、特定の所望結果を達成するために変化させることができる。
【0024】
動作を説明する。ウェーハ122が、EBRモジュール100のウェーハホールダアセンブリ104上に位置決めされ、ウェーハリフト130が、ウェーハを転送ロボットブレードから持ち上げる。ロボットブレードが後退し、ウェーハリフト130はウェーハを真空チャック124上に降下させる。真空システムが作動してウェーハを真空チャック124上に確保し、ウェーハホールダアセンブリ104はその上に配置されているウェーハと共に回転し、ノズル150がエッチング液をウェーハ122の周縁部分上に送給する。このエッチングプロセスは、ウェーハエッジ上の過剰堆積物(即ち、エッジビード)を除去するのに十分な所定の時間にわたって遂行される。ウェーハは、好ましくは、スピン・洗浄・乾燥プロセスにおいて脱イオン水を使用してきれいにする。スピン・洗浄・乾燥プロセスは、典型的には、脱イオン水をウェーハに送給してウェーハから残留エッチング液を洗浄し、ウェーハを高速でスピンさせて水を乾燥させることを含む。エッジビード除去プロセス及びスピン・洗浄・乾燥プロセスの後に、熱焼鈍処理その他のウェーハ処理のような他の処理のための準備を整えるために、ウェーハをEBRモジュール100から転送する。
【0025】
図6は、混合エッジビード/スピン・洗浄・乾燥(EBR/SRD)モジュールの断面図であって、垂直方向に離間している流体入口の間の処理位置に基板がある状態を示している。本発明のこの実施の形態は、エッジビード除去(EBR)プロセス及びスピン・洗浄・乾燥(SRD)プロセスの両方に有用である。EBR/SRDモジュール200の成分は、上述したEBRモジュール100の成分と同じであり、同一の成分には同一の番号を付してある。EBRモジュール100の成分に加えて、EBR/SRDモジュール200は、ウェーハの下の位置に、好ましくはノズル150の位置に対応して垂直に整列させた付加的な組の下側ノズル170を備えている。下側ノズル170は、脱イオン水の源160とエッチング液の源162とに選択的に接続され、ノズル170によって送給される流体は制御装置164によって制御される。好ましくは、ノズル170は流体をウェーハの裏側の周縁部分へ送給するように向けられている。下側ノズル170は、好ましくはウェーハリフト130の運動を妨げない位置に配置する。下側ノズル170は、ノズル170を所望の位置に位置決めするように伸縮するアーム176を通してアクチュエータ174にも取付けられている。代替として、処理中に下側ノズル170を妨害しないように、ウェーハリフト130は回転しない。EBR/SRDモジュール200は、好ましくは、ウェーハの上面の中心部分に脱イオン水を送給するように配置されている専用脱イオン水ノズル172を更に含む。
【0026】
動作を説明する。ノズル150及び170は、ウェーハの上面及び下面を含むウェーハの周縁部分にエッチング液を送給してエッジビード除去プロセスを遂行する。好ましくは、エッジビード除去プロセス中に脱イオン水ノズル172は脱イオン水をウェーハの中心部分に送給し、ウェーハ表面の中心部分へ跳ね返ったエッチング液による意図しないエッチングを防ぐ。スピン・洗浄・乾燥プロセスの場合には、ウェーハを回転させ、好ましくは全てのノズル150、170、及び172が脱イオン水を送給してウェーハを洗浄させる。ウェーハを洗浄した後にウェーハをスピンさせて乾燥させ、さらなる処理のためにEBR/SRDモジュール200から転送する。
【0027】
以上に本発明の好ましい実施の形態を説明したが、本発明の範囲から逸脱することなく本発明の他の、及びさらなる実施の形態を考案することが可能であり、従って本発明は特許請求の範囲によってのみ限定されることを理解されたい。
【図面の簡単な説明】
【図1】コンタクトピンを組み込んだ典型的な簡易噴流めっき装置10の断面図である。
【図2】ウェーハ30のエッジの断面図であって、シード層34のエッジ32の過剰堆積物36を示す図である。
【図3】本発明のエッジビード除去(EBR)モジュールの側断面図であって、基板のエッジから過剰堆積物を除去するために処理位置に配置されている基板を示す図である。
【図4】EBRモジュールの概要上面図であり、エッジビード除去のためのノズル位置の1つの実施の形態を示す図である。
【図5】処理中のウェーハ122に対して配置されているノズル150の側面図である。
【図6】混合エッジビード除去/スピン・洗浄・乾燥(ERB/SRD)モジュールの断面図であって、垂直に離間している流体入口の間の処理位置にある基板を示す図である。
【符号の説明】
10 噴流めっき装置
12 電解液容器
14 基板ホールダ
16 陽極
20 コンタクトリング
22 基板
24 溝
26 コンタクトピン
30 ウェーハ
32 エッジ
34 シード層
36 過剰堆積物
38 電気めっき層
100 EBRモジュール
102 容器
104 ウェーハホールダアセンブリ
106 流体/薬品送給アセンブリ
108 容器側壁
110 容器底
112 中心開口
114 上向き内壁
116 流体出口
118 リフトアセンブリ
120 回転アセンブリ
122 ウェーハ
124 真空チャック
126 ウェーハエッジ
128 環状シール
130 ウェーハリフト
134 アーム
136 環状ベース
137 下向き壁
138 スパイダクリップ
142 クランプ部分
144 下側部分
150 ノズル
152 ディスペンスアーム
154 アクチュエータ
160 脱イオン水の源
162 エッチング液の源
164 コンピュータ制御装置
170 下側ノズル
172 脱イオン水ノズル
174 アクチュエータ
176 アーム
200 EBR/SRDモジュール

Claims (16)

  1. 基板をエッチングする装置であって、
    器と、
    記容器内に配置されている基板支持体と、
    記基板支持体に取付けられている回転アクチュエータと、
    記容器内に配置され、上記基板支持体上に配置されている基板の周縁部分にエッチング液を送給する流体送給アセンブリであって、傾斜した1又はそれ以上ノズルを備えた流体送給アセンブリと、
    上記容器内に配置され、リフトプラットフォームと該リフトプラットフォームから半径方向に延びる複数のアームとを備えた、基板リフトアセンブリと、
    を備えていることを特徴とする装置。
  2. 上記基板支持体は、真空チャックを備えていることを特徴とする請求項1に記載の装置。
  3. 上記傾斜したはそれ以上のノズルが、上記基板の周縁部分に対して0度乃至45度の入射角により上記エッチング液を送給する、ことを特徴とする請求項1に記載の装置。
  4. 上記傾斜した1又はそれ以上のノズルがはそれ以上の垂直に整列されたノズル対を備えていることを特徴とする請求項1に記載の装置。
  5. 上記流体送給アセンブリは、エッチング液の源と、脱イオン水の源との間に選択的に接続されることを特徴とする請求項1に記載の装置。
  6. 上記傾斜した1又はそれ以上のノズルが、エッチング液の源に接続可能な第1ののノズル、及び、脱イオン水の源に接続可能な第2ののノズルを備えていることを特徴とする請求項1に記載の装置。
  7. e)上記容器内に配置され、脱イオン水を上記基板の中心部分に送給する脱イオン水ノズル、
    を更に備えていることを特徴とする請求項1に記載の装置。
  8. 上記基板リフトアセンブリは、記アームの先端に配置されている複数のクリップを備えていることを特徴とする請求項に記載の装置。
  9. 基板をエッチングする方法であって、
    リフトプラットフォームと該リフトプラットフォームから半径方向に延びる複数のアームとを備えた回転可能な基板支持体を用いて、基板を回転させるステップと、
    傾斜した1又はそれ以上のノズルを有する流体送給アセンブリを用いて、上記基板の周縁部分にエッチング液を送給するステップと、
    エッチング後に上記基板に洗浄剤を送給するステップと、
    上記基板をスピン乾燥させるステップと、
    を含んでいることを特徴とする方法。
  10. 上記基板は、100rpm乃至1000rpmで回転させることを特徴とする請求項に記載の方法。
  11. 上記エッチング液は、上記基板の周縁部分に実質的に接線方向に送給されることを特徴とする請求項に記載の方法。
  12. 上記エッチング液は、基板の表面から°乃至45°の入射角で送給されることを特徴とする請求項に記載の方法。
  13. 上記エッチング液は、上記基板の前側及び裏側に送給されることを特徴とする請求項に記載の方法。
  14. 記基板の中心部分に脱イオン水を送給するステップ、
    を更に含んでいることを特徴とする請求項に記載の方法。
  15. 上記傾斜した1又はそれ以上のノズルが、上記基板の周縁部分に対して10度乃至30度の入射角により上記エッチング液を送給する、請求項1に記載の装置。
  16. 上記傾斜した1又はそれ以上のノズルが、上記基板の周縁部分に対して10度乃至30度の入射角により上記エッチング液を送給する、請求項12に記載の方法。
JP2000245286A 1999-07-09 2000-07-07 基板をエッチングする装置及び方法 Expired - Fee Related JP4603136B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/350,212 US6516815B1 (en) 1999-07-09 1999-07-09 Edge bead removal/spin rinse dry (EBR/SRD) module
US09/350212 1999-07-09

Publications (2)

Publication Number Publication Date
JP2001135612A JP2001135612A (ja) 2001-05-18
JP4603136B2 true JP4603136B2 (ja) 2010-12-22

Family

ID=23375706

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000245286A Expired - Fee Related JP4603136B2 (ja) 1999-07-09 2000-07-07 基板をエッチングする装置及び方法

Country Status (6)

Country Link
US (1) US6516815B1 (ja)
EP (1) EP1067591A3 (ja)
JP (1) JP4603136B2 (ja)
KR (1) KR100717445B1 (ja)
SG (1) SG80684A1 (ja)
TW (1) TW455920B (ja)

Families Citing this family (222)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3395696B2 (ja) * 1999-03-15 2003-04-14 日本電気株式会社 ウェハ処理装置およびウェハ処理方法
US7780867B1 (en) * 1999-10-01 2010-08-24 Novellus Systems, Inc. Edge bevel removal of copper from silicon wafers
KR100436361B1 (ko) * 2000-12-15 2004-06-18 (주)케이.씨.텍 기판 가장자리를 세정하기 위한 장치
JP4743735B2 (ja) * 2001-05-30 2011-08-10 大日本スクリーン製造株式会社 基板処理装置および基板処理方法
JP2002353181A (ja) * 2001-05-30 2002-12-06 Ses Co Ltd 枚葉式基板洗浄方法および枚葉式基板洗浄装置
JP2003006948A (ja) * 2001-06-15 2003-01-10 Fuji Photo Film Co Ltd 光情報記録媒体の製造方法
JP3944368B2 (ja) * 2001-09-05 2007-07-11 株式会社荏原製作所 基板処理装置及び基板処理方法
US6786996B2 (en) 2001-10-16 2004-09-07 Applied Materials Inc. Apparatus and method for edge bead removal
US6708701B2 (en) * 2001-10-16 2004-03-23 Applied Materials Inc. Capillary ring
US7138014B2 (en) * 2002-01-28 2006-11-21 Applied Materials, Inc. Electroless deposition apparatus
US6899816B2 (en) * 2002-04-03 2005-05-31 Applied Materials, Inc. Electroless deposition method
US6905622B2 (en) * 2002-04-03 2005-06-14 Applied Materials, Inc. Electroless deposition method
US20030190426A1 (en) * 2002-04-03 2003-10-09 Deenesh Padhi Electroless deposition method
US20030207206A1 (en) * 2002-04-22 2003-11-06 General Electric Company Limited play data storage media and method for limiting access to data thereon
JP4017463B2 (ja) * 2002-07-11 2007-12-05 株式会社荏原製作所 洗浄方法
KR100518765B1 (ko) * 2002-08-01 2005-10-05 주식회사 에이알티 웨이퍼 식각 장치
US6821909B2 (en) * 2002-10-30 2004-11-23 Applied Materials, Inc. Post rinse to improve selective deposition of electroless cobalt on copper for ULSI application
US20070232072A1 (en) * 2003-04-18 2007-10-04 Bo Zheng Formation of protection layer on wafer to prevent stain formation
US7827930B2 (en) * 2004-01-26 2010-11-09 Applied Materials, Inc. Apparatus for electroless deposition of metals onto semiconductor substrates
US7654221B2 (en) * 2003-10-06 2010-02-02 Applied Materials, Inc. Apparatus for electroless deposition of metals onto semiconductor substrates
US7465358B2 (en) * 2003-10-15 2008-12-16 Applied Materials, Inc. Measurement techniques for controlling aspects of a electroless deposition process
US20070111519A1 (en) * 2003-10-15 2007-05-17 Applied Materials, Inc. Integrated electroless deposition system
US7064065B2 (en) * 2003-10-15 2006-06-20 Applied Materials, Inc. Silver under-layers for electroless cobalt alloys
US20050095830A1 (en) * 2003-10-17 2005-05-05 Applied Materials, Inc. Selective self-initiating electroless capping of copper with cobalt-containing alloys
TW200530427A (en) * 2003-10-17 2005-09-16 Applied Materials Inc Selective self-initiating electroless capping of copper with cobalt-containing alloys
US7476290B2 (en) * 2003-10-30 2009-01-13 Ebara Corporation Substrate processing apparatus and substrate processing method
US7205233B2 (en) * 2003-11-07 2007-04-17 Applied Materials, Inc. Method for forming CoWRe alloys by electroless deposition
US20060003570A1 (en) * 2003-12-02 2006-01-05 Arulkumar Shanmugasundram Method and apparatus for electroless capping with vapor drying
US20050161338A1 (en) * 2004-01-26 2005-07-28 Applied Materials, Inc. Electroless cobalt alloy deposition process
US20050170650A1 (en) * 2004-01-26 2005-08-04 Hongbin Fang Electroless palladium nitrate activation prior to cobalt-alloy deposition
US20050181226A1 (en) * 2004-01-26 2005-08-18 Applied Materials, Inc. Method and apparatus for selectively changing thin film composition during electroless deposition in a single chamber
US20060033678A1 (en) * 2004-01-26 2006-02-16 Applied Materials, Inc. Integrated electroless deposition system
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7371312B2 (en) * 2004-03-31 2008-05-13 Intel Corporation Using cell voltage as a monitor for deposition coverage
US20050253268A1 (en) * 2004-04-22 2005-11-17 Shao-Ta Hsu Method and structure for improving adhesion between intermetal dielectric layer and cap layer
KR100568873B1 (ko) * 2004-11-30 2006-04-10 삼성전자주식회사 웨이퍼의 에지 비드 스트립용 노즐장치
US20060240187A1 (en) * 2005-01-27 2006-10-26 Applied Materials, Inc. Deposition of an intermediate catalytic layer on a barrier layer for copper metallization
US20060246699A1 (en) * 2005-03-18 2006-11-02 Weidman Timothy W Process for electroless copper deposition on a ruthenium seed
US7651934B2 (en) 2005-03-18 2010-01-26 Applied Materials, Inc. Process for electroless copper deposition
US20060252252A1 (en) * 2005-03-18 2006-11-09 Zhize Zhu Electroless deposition processes and compositions for forming interconnects
US20060246217A1 (en) * 2005-03-18 2006-11-02 Weidman Timothy W Electroless deposition process on a silicide contact
US20060211237A1 (en) 2005-03-21 2006-09-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for planarizing gap-filling material
JP4237184B2 (ja) * 2005-03-31 2009-03-11 エルピーダメモリ株式会社 半導体装置の製造方法
US7691559B2 (en) * 2005-06-30 2010-04-06 Taiwan Semiconductor Manufacturing Company, Ltd. Immersion lithography edge bead removal
US20070071888A1 (en) * 2005-09-21 2007-03-29 Arulkumar Shanmugasundram Method and apparatus for forming device features in an integrated electroless deposition system
US20070099806A1 (en) * 2005-10-28 2007-05-03 Stewart Michael P Composition and method for selectively removing native oxide from silicon-containing surfaces
US20070099422A1 (en) * 2005-10-28 2007-05-03 Kapila Wijekoon Process for electroless copper deposition
US20070209684A1 (en) * 2006-03-07 2007-09-13 Applied Materials, Inc. Copper deposition chamber having integrated bevel clean with edge bevel removal detection
WO2007111976A2 (en) * 2006-03-24 2007-10-04 Applied Materials, Inc. Methods and apparatus for cleaning a substrate
US8100081B1 (en) 2006-06-30 2012-01-24 Novellus Systems, Inc. Edge removal of films using externally generated plasma species
KR100829923B1 (ko) * 2006-08-30 2008-05-16 세메스 주식회사 스핀헤드 및 이를 이용하는 기판처리방법
US8580078B2 (en) 2007-01-26 2013-11-12 Lam Research Corporation Bevel etcher with vacuum chuck
US9732416B1 (en) 2007-04-18 2017-08-15 Novellus Systems, Inc. Wafer chuck with aerodynamic design for turbulence reduction
US8174800B2 (en) * 2007-05-07 2012-05-08 Canon Anelva Corporation Magnetoresistive element, method of manufacturing the same, and magnetic multilayered film manufacturing apparatus
JP4593601B2 (ja) * 2007-08-03 2010-12-08 キヤノンアネルバ株式会社 汚染物質除去方法、半導体製造方法、及び薄膜形成加工装置
JP4819010B2 (ja) * 2007-09-04 2011-11-16 東京エレクトロン株式会社 処理装置、処理方法および記憶媒体
US7867900B2 (en) 2007-09-28 2011-01-11 Applied Materials, Inc. Aluminum contact integration on cobalt silicide junction
US8419964B2 (en) * 2008-08-27 2013-04-16 Novellus Systems, Inc. Apparatus and method for edge bevel removal of copper from silicon wafers
US8172646B2 (en) * 2009-02-27 2012-05-08 Novellus Systems, Inc. Magnetically actuated chuck for edge bevel removal
JP5840612B2 (ja) 2009-09-21 2016-01-06 ノボ・ノルデイスク・エー/エス 針カニューレの化学エッチングのための方法
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
DE102010017751A1 (de) * 2010-07-06 2012-01-12 Infineon Technologies Bipolar Gmbh & Co. Kg Verfahren und Vorrichtung zur Herstellung einer Randstruktur eines Halbleiterbauelements
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9421617B2 (en) 2011-06-22 2016-08-23 Tel Nexx, Inc. Substrate holder
US8967935B2 (en) 2011-07-06 2015-03-03 Tel Nexx, Inc. Substrate loader and unloader
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
JP5891085B2 (ja) * 2012-03-27 2016-03-22 株式会社Screenホールディングス 基板処理装置および基板処理方法
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
JP5651744B1 (ja) 2013-07-04 2015-01-14 株式会社カイジョー 超音波洗浄装置及び超音波洗浄方法
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
JP6064875B2 (ja) * 2013-11-25 2017-01-25 東京エレクトロン株式会社 液処理装置、液処理方法及び記憶媒体
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
JP7024307B2 (ja) * 2017-01-26 2022-02-24 東京エレクトロン株式会社 塗布膜除去装置、塗布膜除去方法及び記憶媒体
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10875149B2 (en) * 2017-03-30 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for timed dispensing various slurry components
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
JP7364322B2 (ja) * 2018-02-23 2023-10-18 株式会社荏原製作所 基板洗浄装置および基板洗浄方法
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
EP3594748B1 (en) 2018-07-09 2021-04-14 C&D Semiconductor Services. Inc Optimal exposure of a bottom surface of a substrate material and/or edges thereof for cleaning in a spin coating device
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP7309485B2 (ja) * 2019-07-04 2023-07-18 東京エレクトロン株式会社 エッチング装置およびエッチング方法
DE102021116206B3 (de) 2021-06-23 2022-09-29 Infineon Technologies Bipolar Gmbh & Co. Kg Verfahren und Vorrichtung zur Herstellung einer Randstruktur eines Halbleiterbauelements
KR102573825B1 (ko) 2023-05-15 2023-09-04 주식회사 기술공작소바다 이비알 장치

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62287625A (ja) * 1986-06-06 1987-12-14 Hitachi Ltd スピン式エッチング装置
JPH02309638A (ja) * 1989-05-24 1990-12-25 Fujitsu Ltd ウエハーエッチング装置
JPH04263429A (ja) * 1991-02-18 1992-09-18 Sharp Corp 半導体装置の製造方法
JPH09298181A (ja) * 1996-05-07 1997-11-18 Tokyo Ohka Kogyo Co Ltd 基板の裏面洗浄装置
JP2000269178A (ja) * 1999-03-15 2000-09-29 Nec Corp エッチング除去方法および装置と洗浄方法および装置

Family Cites Families (101)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3727620A (en) 1970-03-18 1973-04-17 Fluoroware Of California Inc Rinsing and drying device
US3772105A (en) 1970-07-24 1973-11-13 Shipley Co Continuous etching process
US3770598A (en) 1972-01-21 1973-11-06 Oxy Metal Finishing Corp Electrodeposition of copper from acid baths
US4027686A (en) 1973-01-02 1977-06-07 Texas Instruments Incorporated Method and apparatus for cleaning the surface of a semiconductor slice with a liquid spray of de-ionized water
IT1046971B (it) 1975-03-11 1980-09-10 Oxy Metal Industries Corp Begno per l elettrodeposizione di rame e metodo per prepararlo
US3953265A (en) * 1975-04-28 1976-04-27 International Business Machines Corporation Meniscus-contained method of handling fluids in the manufacture of semiconductor wafers
US3990462A (en) * 1975-05-19 1976-11-09 Fluoroware Systems Corporation Substrate stripping and cleaning apparatus
JPS5271871A (en) 1975-12-11 1977-06-15 Nec Corp Washing apparatus
JPS5819350B2 (ja) 1976-04-08 1983-04-18 富士写真フイルム株式会社 スピンコ−テイング方法
US4326940A (en) 1979-05-21 1982-04-27 Rohco Incorporated Automatic analyzer and control system for electroplating baths
US4315059A (en) 1980-07-18 1982-02-09 The United States Of America As Represented By The United States Department Of Energy Molten salt lithium cells
US4405416A (en) 1980-07-18 1983-09-20 Raistrick Ian D Molten salt lithium cells
US4336114A (en) 1981-03-26 1982-06-22 Hooker Chemicals & Plastics Corp. Electrodeposition of bright copper
US4376685A (en) 1981-06-24 1983-03-15 M&T Chemicals Inc. Acid copper electroplating baths containing brightening and leveling additives
DE3272891D1 (en) 1981-10-01 1986-10-02 Emi Ltd Electroplating arrangements
US4429983A (en) * 1982-03-22 1984-02-07 International Business Machines Corporation Developing apparatus for exposed photoresist coated wafers
US4439243A (en) * 1982-08-03 1984-03-27 Texas Instruments Incorporated Apparatus and method of material removal with fluid flow within a slot
US4439244A (en) 1982-08-03 1984-03-27 Texas Instruments Incorporated Apparatus and method of material removal having a fluid filled slot
US4838289A (en) * 1982-08-03 1989-06-13 Texas Instruments Incorporated Apparatus and method for edge cleaning
US4489740A (en) 1982-12-27 1984-12-25 General Signal Corporation Disc cleaning machine
US4510176A (en) * 1983-09-26 1985-04-09 At&T Bell Laboratories Removal of coating from periphery of a semiconductor wafer
US4518678A (en) 1983-12-16 1985-05-21 Advanced Micro Devices, Inc. Selective removal of coating material on a coated substrate
JPS60210840A (ja) * 1984-03-06 1985-10-23 Fujitsu Ltd スピン処理装置
US4519846A (en) 1984-03-08 1985-05-28 Seiichiro Aigo Process for washing and drying a semiconductor element
JPS61279858A (ja) * 1985-06-05 1986-12-10 Mitsubishi Electric Corp ネガレジスト現像装置
US4693805A (en) 1986-02-14 1987-09-15 Boe Limited Method and apparatus for sputtering a dielectric target or for reactive sputtering
US4788994A (en) * 1986-08-13 1988-12-06 Dainippon Screen Mfg. Co. Wafer holding mechanism
US4732785A (en) 1986-09-26 1988-03-22 Motorola, Inc. Edge bead removal process for spin on films
FR2623134B1 (fr) 1987-11-13 1991-08-02 Salem Ali Procede technique de decoupe et d'ajourage de plaques metalliques en vue de leur reproduction et incrustation
US5224504A (en) 1988-05-25 1993-07-06 Semitool, Inc. Single wafer processor
US5230743A (en) 1988-05-25 1993-07-27 Semitool, Inc. Method for single wafer processing in which a semiconductor wafer is contacted with a fluid
US5235995A (en) 1989-03-27 1993-08-17 Semitool, Inc. Semiconductor processor apparatus with dynamic wafer vapor treatment and particulate volatilization
US5092975A (en) 1988-06-14 1992-03-03 Yamaha Corporation Metal plating apparatus
JPH01316936A (ja) 1988-06-17 1989-12-21 Toshiba Corp 半導体基板エッチング処理装置
US5316974A (en) 1988-12-19 1994-05-31 Texas Instruments Incorporated Integrated circuit copper metallization process using a lift-off seed layer and a thick-plated conductor layer
JPH02253620A (ja) * 1989-03-28 1990-10-12 Oki Electric Ind Co Ltd 半導体基板の洗浄装置
JP2803143B2 (ja) * 1989-04-14 1998-09-24 カシオ計算機株式会社 半導体ウエハのメッキ前処理方法
US5039381A (en) 1989-05-25 1991-08-13 Mullarkey Edward J Method of electroplating a precious metal on a semiconductor device, integrated circuit or the like
US5162260A (en) 1989-06-01 1992-11-10 Hewlett-Packard Company Stacked solid via formation in integrated circuit systems
US5055425A (en) 1989-06-01 1991-10-08 Hewlett-Packard Company Stacked solid via formation in integrated circuit systems
US5155336A (en) 1990-01-19 1992-10-13 Applied Materials, Inc. Rapid thermal heating apparatus and method
JP2841618B2 (ja) 1990-01-25 1998-12-24 日本電気株式会社 ウェットエッチング装置
US5222310A (en) 1990-05-18 1993-06-29 Semitool, Inc. Single wafer processor with a frame
US5259407A (en) 1990-06-15 1993-11-09 Matrix Inc. Surface treatment method and apparatus for a semiconductor wafer
US5252807A (en) 1990-07-02 1993-10-12 George Chizinsky Heated plate rapid thermal processor
US5368711A (en) 1990-08-01 1994-11-29 Poris; Jaime Selective metal electrodeposition process and apparatus
US5256274A (en) 1990-08-01 1993-10-26 Jaime Poris Selective metal electrodeposition process
JPH0810686B2 (ja) * 1990-09-14 1996-01-31 株式会社東芝 半導体基板エッチング処理装置
CA2059841A1 (en) 1991-01-24 1992-07-25 Ichiro Hayashida Surface treating solutions and cleaning method
DE4109955A1 (de) 1991-03-26 1992-10-01 Siemens Ag Verfahren zum nasschemischen aetzen einer wolframrueckseitenbeschichtung auf einer halbleiterscheibe
JPH04363022A (ja) * 1991-06-06 1992-12-15 Enya Syst:Kk 貼付板洗浄装置
JPH0513322A (ja) * 1991-07-09 1993-01-22 Ryoden Semiconductor Syst Eng Kk 被膜溶剤塗布装置
JPH06124887A (ja) * 1991-09-27 1994-05-06 Sony Corp 半導体装置の製造方法及びこれに使用できる基板洗浄装置
JPH0715897B2 (ja) 1991-11-20 1995-02-22 株式会社エンヤシステム ウエ−ハ端面エッチング方法及び装置
JPH05160104A (ja) 1991-12-05 1993-06-25 Fujitsu Ltd 半導体ウェーハのウェット処理方法及びウェット処理装置
DE4202194C2 (de) 1992-01-28 1996-09-19 Fairchild Convac Gmbh Geraete Verfahren und Vorrichtung zum partiellen Entfernen von dünnen Schichten von einem Substrat
JP2528413B2 (ja) * 1992-02-03 1996-08-28 オリジン電気株式会社 不要塗膜の剥離方法及び装置
JP2654314B2 (ja) 1992-06-04 1997-09-17 東京応化工業株式会社 裏面洗浄装置
JPH0617291A (ja) 1992-07-03 1994-01-25 Nec Corp 金属めっき装置
US5328589A (en) 1992-12-23 1994-07-12 Enthone-Omi, Inc. Functional fluid additives for acid copper electroplating baths
US5718813A (en) 1992-12-30 1998-02-17 Advanced Energy Industries, Inc. Enhanced reactive DC sputtering system
JP3277404B2 (ja) * 1993-03-31 2002-04-22 ソニー株式会社 基板洗浄方法及び基板洗浄装置
JP3247211B2 (ja) 1993-08-02 2002-01-15 富士通株式会社 配線用銅膜表面の酸化銅除去方法
US5608943A (en) 1993-08-23 1997-03-11 Tokyo Electron Limited Apparatus for removing process liquid
US5415890A (en) 1994-01-03 1995-05-16 Eaton Corporation Modular apparatus and method for surface treatment of parts with liquid baths
US5625170A (en) 1994-01-18 1997-04-29 Nanometrics Incorporated Precision weighing to monitor the thickness and uniformity of deposited or etched thin film
JP3377849B2 (ja) 1994-02-02 2003-02-17 日本エレクトロプレイテイング・エンジニヤース株式会社 ウエーハ用メッキ装置
US5651865A (en) 1994-06-17 1997-07-29 Eni Preferential sputtering of insulators from conductive targets
US5753133A (en) * 1994-07-11 1998-05-19 Applied Komatsu Technology, Inc. Method and apparatus for etching film layers on large substrates
JPH0837143A (ja) 1994-07-25 1996-02-06 Fuji Xerox Co Ltd 半導体処理装置
KR960006409U (ko) * 1994-07-26 1996-02-17 무선전화기의 안테나 장착 장치
US5705223A (en) 1994-07-26 1998-01-06 International Business Machine Corp. Method and apparatus for coating a semiconductor wafer
JPH0878378A (ja) * 1994-09-08 1996-03-22 Toshiba Corp 半導体基板の表面処理方法
JPH08195370A (ja) * 1995-01-13 1996-07-30 Sony Corp エッジクリーン方法
JP3137873B2 (ja) 1995-06-27 2001-02-26 株式会社日立製作所 半導体ウェハシールエッチング装置
JPH0969509A (ja) * 1995-09-01 1997-03-11 Matsushita Electron Corp 半導体ウェーハの洗浄・エッチング・乾燥装置及びその使用方法
JP3447869B2 (ja) * 1995-09-20 2003-09-16 株式会社荏原製作所 洗浄方法及び装置
US5807469A (en) 1995-09-27 1998-09-15 Intel Corporation Flexible continuous cathode contact circuit for electrolytic plating of C4, tab microbumps, and ultra large scale interconnects
TW357406B (en) * 1996-10-07 1999-05-01 Tokyo Electron Ltd Method and apparatus for cleaning and drying a substrate
US6114254A (en) * 1996-10-15 2000-09-05 Micron Technology, Inc. Method for removing contaminants from a semiconductor wafer
US5937469A (en) * 1996-12-03 1999-08-17 Intel Corporation Apparatus for mechanically cleaning the edges of wafers
TW345681B (en) * 1996-12-13 1998-11-21 Taiwan Semiconductor Mfg Co Ltd Method for removing covering layer on the peripheral edge portion of wafer
KR19980065672A (ko) * 1997-01-14 1998-10-15 김광호 기판 에지 불순물 제거 방법
JP3300624B2 (ja) * 1997-01-24 2002-07-08 東京エレクトロン株式会社 基板端面の洗浄方法
KR100249309B1 (ko) * 1997-02-28 2000-03-15 윤종용 반도체 제조용 포토 레지스트 코팅 장치
TW419716B (en) * 1997-04-28 2001-01-21 Tokyo Electron Ltd Processing apparatus
AT407806B (de) * 1997-05-23 2001-06-25 Sez Semiconduct Equip Zubehoer Anordnung zum behandeln wafer-förmiger gegenstände, insbesondere von siliziumwafern
US5783097A (en) * 1997-06-09 1998-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Process to avoid dielectric damage at the flat edge of the water
US6017437A (en) 1997-08-22 2000-01-25 Cutek Research, Inc. Process chamber and method for depositing and/or removing material on a substrate
TW385489B (en) * 1997-08-26 2000-03-21 Tokyo Electron Ltd Method for processing substrate and device of processing device
JP3469788B2 (ja) * 1997-08-26 2003-11-25 東京エレクトロン株式会社 薄膜除去方法及びその装置
US6159354A (en) 1997-11-13 2000-12-12 Novellus Systems, Inc. Electric potential shaping method for electroplating
US6179983B1 (en) 1997-11-13 2001-01-30 Novellus Systems, Inc. Method and apparatus for treating surface including virtual anode
US5897379A (en) * 1997-12-19 1999-04-27 Sharp Microelectronics Technology, Inc. Low temperature system and method for CVD copper removal
US6117778A (en) * 1998-02-11 2000-09-12 International Business Machines Corporation Semiconductor wafer edge bead removal method and tool
JPH11288903A (ja) * 1998-04-03 1999-10-19 Memc Kk シリコンウエハのエッジ鏡面化方法
DE69929967T2 (de) 1998-04-21 2007-05-24 Applied Materials, Inc., Santa Clara Elektroplattierungssystem und verfahren zur elektroplattierung auf substraten
US6258220B1 (en) 1998-11-30 2001-07-10 Applied Materials, Inc. Electro-chemical deposition system
US6290865B1 (en) 1998-11-30 2001-09-18 Applied Materials, Inc. Spin-rinse-drying process for electroplated semiconductor wafers
US6254760B1 (en) 1999-03-05 2001-07-03 Applied Materials, Inc. Electro-chemical deposition system and method
US6309981B1 (en) 1999-10-01 2001-10-30 Novellus Systems, Inc. Edge bevel removal of copper from silicon wafers

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62287625A (ja) * 1986-06-06 1987-12-14 Hitachi Ltd スピン式エッチング装置
JPH02309638A (ja) * 1989-05-24 1990-12-25 Fujitsu Ltd ウエハーエッチング装置
JPH04263429A (ja) * 1991-02-18 1992-09-18 Sharp Corp 半導体装置の製造方法
JPH09298181A (ja) * 1996-05-07 1997-11-18 Tokyo Ohka Kogyo Co Ltd 基板の裏面洗浄装置
JP2000269178A (ja) * 1999-03-15 2000-09-29 Nec Corp エッチング除去方法および装置と洗浄方法および装置

Also Published As

Publication number Publication date
KR100717445B1 (ko) 2007-05-14
SG80684A1 (en) 2001-05-22
EP1067591A3 (en) 2004-03-24
US6516815B1 (en) 2003-02-11
JP2001135612A (ja) 2001-05-18
EP1067591A2 (en) 2001-01-10
TW455920B (en) 2001-09-21
KR20010049738A (ko) 2001-06-15

Similar Documents

Publication Publication Date Title
JP4603136B2 (ja) 基板をエッチングする装置及び方法
US6720263B2 (en) Planarization of metal layers on a semiconductor wafer through non-contact de-plating and control with endpoint detection
JP4766579B2 (ja) 電気化学堆積装置
US6632335B2 (en) Plating apparatus
JP3527169B2 (ja) 熱アニーリング可能な銅の電気化学堆積装置
US6267853B1 (en) Electro-chemical deposition system
US6290865B1 (en) Spin-rinse-drying process for electroplated semiconductor wafers
US6770565B2 (en) System for planarizing metal conductive layers
US6254760B1 (en) Electro-chemical deposition system and method
US20020185658A1 (en) Electroless plating liquid and semiconductor device
US20030038107A1 (en) Method and apparatus for removal of unwanted electroplating deposits
US20030213772A9 (en) Integrated semiconductor substrate bevel cleaning apparatus and method
US20030070695A1 (en) N2 splash guard for liquid injection on the rotating substrate
US6802947B2 (en) Apparatus and method for electro chemical plating using backside electrical contacts
JP2000208443A (ja) 電子装置の製造方法および製造装置
US7901550B2 (en) Plating apparatus
US6723224B2 (en) Electro-chemical polishing apparatus
WO2002099164A2 (en) Electroless-plating solution and semiconductor device
US20020033339A1 (en) Plating apparatus and plating method for substrate
US20090095634A1 (en) Plating method
US6863796B2 (en) Method for reducing cu surface defects following cu ECP
US20030201170A1 (en) Apparatus and method for electropolishing a substrate in an electroplating cell
US20020048953A1 (en) Chemical mixture for copper removal in electroplating systems

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070627

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100415

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100422

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100715

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100922

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20101001

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131008

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees