KR20010049738A - 에지 비드 제거형/회전형 세척의 건조(ebr/srd)모듈 - Google Patents

에지 비드 제거형/회전형 세척의 건조(ebr/srd)모듈 Download PDF

Info

Publication number
KR20010049738A
KR20010049738A KR1020000038869A KR20000038869A KR20010049738A KR 20010049738 A KR20010049738 A KR 20010049738A KR 1020000038869 A KR1020000038869 A KR 1020000038869A KR 20000038869 A KR20000038869 A KR 20000038869A KR 20010049738 A KR20010049738 A KR 20010049738A
Authority
KR
South Korea
Prior art keywords
substrate
wafer
etchant
edge
deionized water
Prior art date
Application number
KR1020000038869A
Other languages
English (en)
Other versions
KR100717445B1 (ko
Inventor
조이 스티븐스
도날드 올가도
알렉산더에스. 코
예크-화이에드윈 목크
Original Assignee
조셉 제이. 스위니
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 조셉 제이. 스위니, 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 조셉 제이. 스위니
Publication of KR20010049738A publication Critical patent/KR20010049738A/ko
Application granted granted Critical
Publication of KR100717445B1 publication Critical patent/KR100717445B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • H01L21/6708Apparatus for fluid treatment for etching for wet etching using mainly spraying means, e.g. nozzles
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S134/00Cleaning and liquid contact with solids
    • Y10S134/902Semiconductor wafer

Abstract

본 발명은 기판을 에칭하는 장치에 제공하는 것이며, 상기 장치는 용기; 용기내에 배열된 기판 지지부; 기판 지지부에 부착된 회전 작동기; 및 기판 지지부상에 배열된 기판의 원주부에 에칭제를 전달하도록 용기내에 배치된 유체 전달 조립체로 구성된다. 바람직하게도, 기판 지지부는 진공 척으로 구성되며, 유체 전달 조립체는 하나 이상의 노즐로 구성된다.
또한, 본 발명은 기판을 에칭하는 방법을 제공하는 것이며, 상기 방법은 회전형 기판 지지부상에 위치된 기판을 회전시키는 단계와; 상기 기판의 원주부에 에칭제를 전달하는 단계로 구성된다. 바람직하게도, 상기 기판은 약 100rpm 내지 1000rpm사이로 회전되며, 에칭제는 기판의 표면으로 부터 약 0°내지 45°사이의 입사각으로 기판의 원주부에 거의 경사되는 방향으로 전달된다.

Description

에지 비드 제거형/회전형 세척의 건조(EBR/SRD)모듈 {EDGE BEAD REMOVAL/SPIN RINSE DRY (EBR/SRD) MODULE}
본 발명은 전기-화학 증착 또는 전기도금 장치에 관한 것이다. 보다 상세히 기술하면, 본 발명은 기판의 주변부로부터의 증착을 제거하기 위한 장치이다.
서브-콰터 미크론(sub-quarter micron), 멀티-레벨 금속화(multi-level metallization)는 극초대규모 집적회로(ULSI) 다음세대의 중요한 기술들중의 하나이다. 상기 멀티레벨은 콘택츠, 바이어스, 라인들 및 다른 피쳐(features)를 포함하여 높은 종횡비를 갖는 구멍으로 형성되는 상호접촉 피쳐(interconnect features)들의 평탄화에 필요한 기술들중에 가장 중요한 기술이다. 상기 상호접속 피쳐들의 신뢰할만한 형태는 ULSI의 성공에 매우 중요하며, 각 기판상의 회로 밀도 및 질을 증가시키기 위해 계속하여 노력중에 있다.
회로 밀도가 증가함에 따라, 바이어스, 콘택츠, 및 다른 피쳐들의 크기 이외에 이들사이의 유전체 물질들의 너비는 250나노미터들보다 작게 감소되는 반면에, 유전층의 두께는 거의 일정하게 남아 있으며, 그 결과 피쳐들의 종횡비, 예를들어 높이를 너비로 나눈 값은 증가하게 된다. 물리적인 기상 증착(PVD) 및 화학적인 기상 증착(CVD)과 같은 많은 통상의 증착 공정은 종횡비가 4:1을 초과하고 특히 10:1을 초과하는 어려운 충전 구조를 갖게 된다. 그러므로, 피쳐 높이 대 피쳐 너비의 비가 4 : 1 또는 이보다 높게 되는 비율의 종횡비를 갖는 나노미터 크기의 피쳐, 및 무공극(void-free)의 형태를 항해 계속적으로 노력하고 있다. 추가적으로, 피쳐의 너비가 감소됨에 따라, 장치는 일정하게 되거나 증가하게 되며, 결국 피쳐에서 전류 밀도를 증가시킨다.
알류미늄이 낮은 전기전항, 실리콘 다이옥사이드(SiO2)에 대한 우수한 부착력, 용이한 패턴 형성 및 용이하게 높은 순도의 형태를 얻는 성질등을 갖고 있기 때문에, 알류미늄(Al) 및 그 합금들은 반도체 공정에서 라인 및 플러그를 형성하도록 통상의 금속을 구비한다. 그러므로, 알류미늄은 유리와 같은 다른 유전체 물질보다 높은 전기 저항을 갖고 있으며, 도체에서 공극의 형성을 일으키는 일렉트로마이그레이션(electromigration)으로 부터 단점을 갖게 된다.
구리 및 그 합금들은 알류미늄보다 낮은 저항들을 구비하며, 알류미늄에 비해 상당히 높은 일렉트로마이그레이션 저항을 갖게 된다. 이러한 특징적인 성질들은 높은 집적 레벨에서 얻게되는 높은 전류 밀도를 지지하기 위해 중요하며, 장치의 속도를 증가한다. 구리는 양호한 열전도성을 구비하며 높은 순도의 상태에서 이용 가능하게 된다. 그러므로, 구리는 반도체 기판에 높은 종횡비를 갖는 상호접속 특징, 서브-콰터 미크론을 채우는 선택 금속으로 된다.
반도체 장치의 제조용 구리를 바람직하게 이용함에도 불구하고, 0.35μ(또는 이보다 작게)의 너비를 갖으며, 4:1 정도의 매우높은 종횡비의 피쳐 속으로 구리를 증착하는 제조방법의 선택은 한정된다. 이러한 공정의 한계치에 대한 결과로서, 회로기판상에 라인의 제조에 대해 이미 한정되는 평판화 작업은 반도체 장치에서 바이어스 및 콘택츠를 채우기 위해 바로 이용된다.
금속의 전기도금이 일반적으로 공지되어 있으며, 다양한 기술들에 의해서 얻어진다. 통상의 방법은 피쳐 표면위로 배리어 층을 적층하는 물리적인 기상, 전도성 금속 층 바람직하게는 구리를 배리어층위로 증착하는 물리적인 기상을 구비하며, 상기 증착후 상기 기상은 구조/피쳐를 채우기 위해 시드층위로 전도성 금속을 전기도금한다. 최종적으로, 적층된 층 및 유전 층들이 전도성 상호 접속을 한정하기 위해 화학적인 기계 폴리싱(CMP)에 의해서 극성화된다.
도 1은 접촉 핀들과 결합하는 단순화된 통상의 기초 플레이터(10)의 단면도를 나타낸다. 일반적으로, 기초 플레이터(10)는 상부 개구를 갖는 전해질 용기(12), 상기 전해질 용기(12)위에 배치된 기판 호울더(14), 전해질 용기(12)의 하부에 배치된 양극(16) 및 기판(22)과 접촉하는 접촉 링(20)을 포함한다. 다수의 홈(24)들이 기판 호울더(14)의 하부면에 형성된다. 진공 펌프(도시되지 않음)가 기판 호울더(14)와 결합되며, 홈(24)에 연결되어 공정처리중에 기판 호울더(14)에 기판(22)을 고정할수 있는 진공상태를 형성한다. 상기 접촉 링(20)은 중앙 기판의 평평한 면을 형성하기 위해 기판(22)의 원주부에 대해 분배되는 금속 또는 반-금속의 복수의 접촉 핀(26)들을 구비한다. 다수의 접촉 핀(26)들은 기판(22)의 좁은 원주부위로 반경방향의 내향으로 연장되며, 접촉 핀(26)의 선단부에서 기판의 전도성 시드층과 접촉하게 된다. 동력 공급원(도시되지 않음)이 핀(26)에 부착되어 전기적인 바이어스를 기판(22)에 제공한다. 상기 기판(22)은 원통형 전해질 용기(12)위로 위치되며, 전해질 유동은 셀(10)의 작동중에 기판의 편평한 면에 직각으로 충돌하게 된다.
전기 도금 공정에서 발생되는 중요한 문제점은 시드층의 에지가 전기도금 공정중에 통상 에지 비드로 불리는 과도한 증착물을 받게 되는 것이다. 도 2는 시드층(34)의 에지(32)에서 과도한 증착(36)을 보여주는 웨이퍼(30)의 에지의 단면도이다. 도 2에 도시된바와 같이, 웨이퍼(30)는 그위에 시드층(32)이 증착되며, 시드층(34)위로 전기화학적으로 증착되는 전기도금된 층(38)이 형성되어 있다. 시드층(34)의 에지(32)는 시드층(34)의 나머지 부분보다 높은 전류 밀도를 받으며, 그 결과 시드층(34)의 에지(32)에서 증착율이 높아진다. 또한, 시드층(34)의 에지(32)에서 기계적인 응력은 시드층(34)의 나머지 부분보다 크며, 그결과 시드층의 에지에서의 증착이 웨이퍼(30)의 에지로 당겨지고, 이로부터 멀리 이동되기도 한다. 과도한 증착(34)은 CMP공정에 의해서 통상적으로 제거된다. 그러나, CMP공정중에 웨이퍼의 에지에서 과도한 증착(36)은 시드층의 에지로 부터 통상 벗겨지며, 웨이퍼의 인접부분도 파손되게 한다. 파손된 금속은 웨이퍼 상에 형성된 장치를 파손하게 된다. 그래서, 적절히 형성된 다수의 장치의 사용은 감소되며, 형성되는 장치의 단가는 증가하게 된다.
그러므로, 웨이퍼의 에지에서 과도한 증착을 형성하기 위한 장치가 필요하게 된다. 바람직하게도, 상기 장치는 웨이퍼 표면상에 형성된 장치에 손해를 주지 않으면서 웨이퍼의 에지에서 과도한 증착이 제거된다. 본 발명의 장치는 과도한 증착이 웨이퍼로 부터 제거된후 회전형-세척-건조 공정과 같은 웨이퍼 세정공정을 수행하기 위해 매우 바람직하게 적용된다.
본 발명은 웨이퍼의 에지에서 증착을 제거하기 위한 장치 및 방법을 제거하는 것이다. 본 발명에 따른 장치는 웨이퍼의 표면상에 형성된 장치를 파손시키지 않으면서 웨이퍼의 에지에 증착물을 제거한다.
도 1은 접촉 핀들과 결합되어 있는 단순화된 통상의 기초 플레이터(10)의 단면도.
도 2는 시드 층(34)의 에지(32)에서 초과 증착(36)을 나타내는 웨이퍼(30)의 에지의 단면도.
도 3은 기판의 에지로부터 초과 적층을 제거하기 위한 공정처리 위치에서 증착되는 기판의 위치를 나타내는, 본 발명의 에지 비드 제거형(EBR, edge bead removal)모듈의 측면도.
도 4는 에지 비드 제거를 위한 노즐 위치의 한 실시예를 나타내는 EBR 모듈의 개략적인 평면도.
도 5는 공정처리되는 웨이퍼(122)에 대해 배치되는 노즐(150)의 측면도.
도 6은 유체 유입구들 사이에 수직으로 배치된 공정처리 위치에서 기판을 나타내는 결합형 에지 비드 제거형/스핀-세척-건조(EBR/SRD)모듈의 단면도.
본 발명의 한 목적은 기판을 에칭하는 장치에 제공하는 것이며, 상기 장치는 용기; 용기내에 배열된 기판 지지부; 기판 지지부에 부착된 회전 작동기; 및 기판 지지부상에 배열된 기판의 원주부에 에칭제를 전달하도록 용기내에 배치된 유체 전달 조립체로 구성된다. 바람직하게도, 기판 지지부는 진공 척으로 구성되며, 유체 전달 조립체는 하나 이상의 노즐로 구성된다.
본 발명의 다른 목적은 기판을 에칭하는 방법을 제공하는 것이며, 상기 방법은 회전형 기판 지지부상에 위치된 기판을 회전시키는 단계와; 상기 기판의 원주부에 에칭제를 전달하는 단계로 구성된다. 바람직하게도, 상기 기판은 약 100rpm 내지 1000rpm사이로 회전되며, 에칭제는 기판의 표면으로 부터 약 0°내지 45°사이의 입사각으로 기판의 원주부에 거의 경사되는 방향으로 전달된다.
본 발명의 또다른 목적은 웨이퍼의 에지에서 과도한 증착을 제거하는 장치를 제공하는 것이며, 상기 장치는 과도한 증착이 웨이퍼로 부터 제거된후 회전형-세척-건조 공정과 같은 웨이퍼 세정공정을 수행하기 위해 적용된다. 상기 장치는 용기; 용기내에 배열된 기판 지지부; 기판 지지부에 부착된 회전 작동기; 에칭제를 기판 지지부상에 배열된 기판의 원주부에, 탈이온수와 같은 세척액을 기판의 표면상에 선택적으로 전달하도록 용기내에 배치된 유체 전달 조립체로 구성된다.
본 발명의 상술된 장점 및 특징은 상술된 구성에 의해서 도달될수 있으며, 본 발명을 보다 잘 이해할수 있도록 첨부된 도면을 참조하여 하기에 바람직한 실시예를 기술한다.
그러나, 첨부된 도면들은 단지 본 발명의 통상의 실시예만을 기술한 것이지, 본 발명의 영역을 한정하는 것은 아니다. 따라서, 본 발명의 영역을 벗어나지 않으면서 하기에 기술되는 실시예와 다른 실시예가 실시될 수도 있다.
도 3은 기판의 에지로부터 초과 증착을 제거하기 위한 공정처리 위치에서 증착되는 기판을 나타내는, 본 발명의 에지 비드 제거형(EBR, edge bead removal)모듈의 측면도이다. 상기 EBR 모듈(100)은 직립형-단일 유닛으로 구성되거나, 전기-화학적인 증착 시스템 또는 다른 증착 시스템들중의 한 구성요소로서 배열된다. 상기 EBR 모듈(100)은 용기(102), 웨이퍼 호울더 조립체(104) 및 유체/화학적인 전달 조립체(106)를 구비한다. 상기 용기(102)는 원통형 측벽(108), 중앙 개구(112)를 갖는 용기 바닥(110), 중앙 개구(112)의 원주 에지로 부터 상부로 연장하는 뒤집혀진 형태의 내부 벽(114)을 포함한다. 유체의 유출구(116)는 EBR 모듈(100)로 부터 사용된 유체 및 화학물질이 용이하게 배출되도록 용기 바닥(110)에 연결된다,
웨이퍼 호울더 조립체(104)는 중앙 개구(112)위에 배열되며, 중앙 개구(112)를 통해 연장되는 회전 조립체(120)와 상승(lift)조립체(118)를 포함한다. 상기 상승 조립체(118)는 벨로우즈-형 상승 또는 안내-나사 계단형 모터식 상승 조립체로 구성되며, 이것은 종래 기술에서 널리 공지되어 있으며, 상업적으로도 이용가능하다. 상승 조립체(118)는 다양한 수직 위치들 사이에서 웨이퍼 호울더 조립체(104)상에 웨이퍼(122)를 용이하게 전달하여 위치설정한다. 회전 조립체(120)는 상승 조립체하에 부착된 회전식 모터를 구비하는 것이 바람직하다. 상기 회전 조립체(120)는 에지 비드의 제거 공정중에 웨이퍼(122)를 회전시킨다. 웨이퍼 호울더 조립체(104)는 웨이퍼의 후방으로 부터 웨이퍼(122)를 고정하며 웨이퍼 에지(126)를 방해하지 않는 진공 척(124)을 구비한다. 바람직하게도, 압축형 O-링과 같은 환형 시일(128)이 진공 척 표면의 주변부에 배치되어 에지 비드의 제거 공정중에 사용되는 유체 및 화학물질로 부터 진공 척(124)을 밀봉한다. 웨이퍼 호울더 조립체(104)는 이송 로봇의 로봇 블레이드로부터의 웨이퍼를 웨이퍼 호울더 조립체(104)위로 용이하게 이동시키는 웨이퍼 리프트(lift, 130)를 포함한다. 도 3에 도시된바와 같이, 웨이퍼 리프트(130)는 회전-세척-건조 공정중에 웨이퍼를 고정하는데 사용되는 스파이더 클립 조립체를 구비한다. 상기 스파이더 클립 조립체는 환형 베이스(136)로 부터 연장되는 다수의 아암(134)과, 아암(134)의 말단부(134)에 피봇 회전가능하게 위치된 스파이더 클립(138)으로 구성된다. 상기 환형 베이스(136)는 용기(102)내의 공정처리중에 사용되는 유체를 포함하도록 상기 내부 벽(114)을 오버랩하는 하부 연장 벽(137)을 포함한다. 상기 스파이더 클립(138)은 웨이퍼를 수용하기 위한 상부면(140), 웨이퍼를 클램프하기 위한 클램프부(142), 하부(144)로 구성되며, 상기 하부(144)는 웨이퍼 호울더 조립체가 회전될때 원심력에 의해 웨이퍼의 에지에 클램프부(142)를 결합시킨다. 선택적으로, 웨이퍼 리프트(130)는 다양한 웨이퍼 공정 장치에서 통상 사용되는 웨이퍼 리프트로 구성되며, 진공 척 바디내에 또는 주변상의 상승 링, 또는 상승 플랫포옴상에 배치된 리프트 테(hoop) 또는 상승 핀 셋트와 같은 형태로 형성된다.
유체/화학물의 전달 조립체(106)는 하나 이상의 분배 아암(152)상에 배치된 하나 이상의 아암(150)을 구비한다. 분배 아암(152)은 작동기(154)에 부착된 용기 측벽(108)을 통해 연장되며, 상기 작동기(154)는 기판(122)위로 노즐(150)의 위치를 변화하도록 연장 및 후퇴시킨다. 연장형 분배 아암(152)을 구비하므로서, 노즐은 웨이퍼의 내부로 부터 웨이퍼의 에지를 항해 노즐을 포인트하도록 웨이퍼위로 위치설정된다. 선택적으로, 분배 아암(152)은 용기 측벽(108)에 고정되게 부착되며, 노즐(150)은 용기(102)에서 수직의 웨이퍼 이동을 방해하지 않는 위치에서 분배 아암에 고정된다.
바람직하게도, 분배 아암(152)은 노즐(150)을 에칭제 공급원에 연결시키기 위해 분배 아암을 통해 연장되는 하나 이상의 도관을 포함한다. 다양한 에칭제(etchant)들로는 질산 및 다른 이용가능한 산(acid)등이 기판으로 부터 증착된 금속을 제거하기 위해 본 기술분야에서 널리 사용되는 것이 공지되어 있다. 선택적으로, 노즐(150)은 분배 아암(152)에서 도관을 통해 배치된 굽힘성 튜빙(156)을 통해 연결된다. 바람직하게도, 노즐(150)은 웨이퍼의 상부 에지면 및 하부에지면 각각에 유체/화학물질을 전달하도록 웨이퍼의 상부 및 하부 위치에서 쌍 배열로 위치된다. 상기 노즐(150)은 탈 이온수 공급원(160) 및 에칭제 공급원(162)과 같은 하나 이상의 화학적인/유체 공급원에 선택적으로 연결된다. 컴퓨터 콘트롤(164)은 소정의 프로그램에 따라 하나 이상의 유체 공급원 사이의 연결부를 스위치한다. 선택적으로, 노즐의 제 1 셋트들은 탈이온수 공급원에 연결되고, 노즐의 제 2 셋트는 에칭제 공급원에 연결되며, 노즐들은 유체를 웨이퍼에 제공하기 위해 선택적으로 작동된다.
바람직하게는, 노즐(150)들은 거의 경사방향에서 웨이퍼의 원주 가까이 유체를 제공하도록 각을 이룬 상태로 배열된다. 도 4는 에지 비드의 제거를 위해 노즐 위치들의 한 실시예를 나타내는 EBR 모듈의 개략적인 평면도이다. 도시된바와 같이, 3개의 노즐(150)들은 용기 측벽(108)의 내부 표면에 대해 균등하게 이격되어 배열된다. 각 노즐(150)은 웨이퍼의 에지부에 유체를 제공하도록 배열되며, 공정처리 위치 및 이송 위치사이의 수직 웨이퍼 이동을 허용하도록 충분한 공간을 제공하게 위치된다. 바람직하게도, 유체의 유동 또는 스프레이 패턴은 노즐의 형태 및 유압에 의해서 제어되어 선택된 에지 제외 영역으로의 유체의 이송을 제한한다. 예를들어, 에칭제는 3mm의 에지 제외부분을 얻기 위해 웨이퍼의 3mm 외부 환형부로 제한을 받게 된다. 노즐들은 에칭제가 웨이퍼와 접촉할때 에칭제의 스플래싱을 제어하는 웨이퍼의 표면에 입사각도로 에칭제를 제공하도록 위치설정된다. 도 5는 공정처리되는 웨이퍼(122)에 대해 배열된 노즐(150)의 측면도를 나타낸다. 바람직하게도, 웨이퍼에 대한 에칭제의 입사각도 α는 약 0도 내지 45도 사이에 있으며, 보다 바람직한 각도는 10 내지 30도이다.
웨이퍼(122)는 웨이퍼의 원주부에서 에칭제에 거의 동일한 노출을 제공하도록 에지 비드의 제거 공정 중에 회전된다. 바람직하게도, 웨이퍼(122)는 에지 비드의 제거를 용이하게 하기 위해 에칭제 스프레이 패턴의 방향과 동일한 방향으로 회전된다. 예를들어, 도 4에 도시된바와 같이, 웨이퍼는 반-시계방향의 스프레이 패턴에 대응하는 반-시계 방향(화살표 A)으로 회전된다. 웨이퍼는 약 100 rpm 내지 1000 rpm으로 회전하는 것이 바람직하며, 보다 바람직하게는 500 rpm 내지 700 rpm으로 회전한다. 효과적인 에치율(제거되는 구리의 량을 제거에 필요한 시간으로 나눔)은 웨이퍼의 회전속도, 에칭제의 온도, 웨이퍼 에지와 접촉하는 에칭제의 속도, 및 에칭제의 에치율의 함수이다. 상기 매개변수들은 다양화될수 있으므로 특정의 바람직한 결과를 얻을수 있다.
작업중에, 웨이퍼(122)는 EBR 모듈(100)의 웨이퍼 호울더 조립체(104)위에 위치되며, 웨이퍼 리프트(130)는 이송 로봇 블레이드로 부터 웨이퍼를 벗어나게 상승시킨다. 로봇 블레이드는 후퇴되며, 웨이퍼 리프트(130)는 진공 척(124)위로 웨이퍼를 하강시킨다. 진공 시스템은 표면위에 웨이퍼를 고정하도록 작동하며, 표면에 웨이퍼가 배치된 웨이퍼 호울더 조립체(104)는 노즐(150)이 웨이퍼(122)의 원주부위로 에칭제를 전달할때 회전된다. 상기 에칭 공정은 웨이퍼 에지상의 과도한 증착(예를들어, 에지 비드)을 제거하는 데 필요한 소정의 시간동안 수행된다. 웨이퍼는 회전형-세척-건조 공정에서 탈이온수를 이용하여 바람직하게 세정된다. 회전형-세척-건조 공정은 웨이퍼로부터 남아 있는 에칭제를 세척하기 위해 웨이퍼에 탈이온수를 통상적으로 전달하는 것과, 웨이퍼를 건조시키기 위해 고속으로 웨이퍼를 회전시키는 것을 수반한다. 이후, 웨이퍼는 에지 비드의 제거 공정 및 회전형-세척공정후에 EBR 모듈(100)로 부터 이송되며, 열 풀림(thermal anneal)처리와 같은 다른 공정 및 다른 웨이퍼 공정을 위해 준비하고 있다.
도 6은 유체 유입구 사이에 수직으로 배치된 공정처리 위치에서 기판을 나타내는 결합된 에지 비드 제거형/회전-세척-건조 모듈의 단면도를 나타낸다. 본 발명의 실시예는 에지 비드의 제거(EBR)공정 및 회전형-세척 건조(SRD)모두에 유용하다. EBR/SRD 모듈(100)의 구성요소들은 상술된 EBR 모듈(100)의 구성요소들과 유사하며, 동일한 구성요소에는 동일한 도면번호를 병기한다. 상기 EBR 모듈(100)의 구성부품 이외에 EBR/SRD 모듈(200)은 웨이퍼 아래 위치에서 배열되며, 바람직하게는 노즐(150)의 위치에 대응하게 수직으로 정렬되는 하부의 추가 노즐(170)셋트를 구비한다. 하부 노즐(170)들은 탈이온수 공급원(160) 및 에칭제 공급원(162)에 선택적으로 연결되며, 노즐(170)에 의해 전달되는 유체는 제어기(164)에 의해서 제어된다. 바람직하게도, 상기 노즐(170)들은 웨이퍼의 후방측의 원주부에 유체를 전달하도록 되어 있다. 하부 노즐(170)들은 웨이퍼 리프트(130)의 이동을 방해하지 않는 위치에서 바람직하게 배열된다. 하부 노즐(170)은 아암(176)을 통해 작동기(174)에 부착되며, 상기 아암(176)은 소정의 위치에 노즐(170)을 위치시키기 위해 후퇴하고 연장된다. 선택적으로, 웨이퍼 리프트(130)는 하부 노즐(170)을 방해하지 않도록 공정처리중에 회전되지 않는다. 상기 EBR/SRD 모듈(200)은 웨이퍼의 상부면의 중앙부분에 탈이온수를 전달하도록 배열된 탈이온수 노즐(172)을 포함한다.
작동중에, 상기 노즐(150,170)은 웨이퍼의 상부면 및 하부면을 포함하는 웨이퍼의 원주부에 에칭제를 전달하여 에지 비드의 제거 공정을 수행한다. 바람직하게도, 탈이온수 노즐(172)은 에지 비드의 제거 공정중에 웨이퍼의 중앙부에 탈이온수를 전달하여 에칭제에 의해서 부주의한 에칭을 방지한다. 상기 에칭제는 웨이퍼 표면의 중앙부위로 스플래시된다. 회전형-세척-건조 공정을 위해, 모든 노즐(150, 170, 172)들은 웨이퍼가 회전할때 웨이퍼를 세척하기 위해 탈이온수를 전달한다.
본 발명의 바람직한 실시예가 상술되었지만, 본 발명의 다른 실시예들이 첨부된 청구범위에 의해서 결정되는 영역 및 사상을 벗어나지 않으면서 실시될수 있다.

Claims (18)

  1. 기판을 에칭하는 장치에 있어서,
    용기와;
    용기내에 배열된 기판 지지부;
    기판 지지부에 부착된 회전 작동기; 및
    기판 지지부상에 배열된 기판의 원주부에 에칭제를 전달하도록 용기내에 배치된 유체 전달 조립체로 구성되는 장치.
  2. 제 1 항에 있어서, 상기 기판 지지부가 진공 척으로 구성되는 장치.
  3. 제 1 항에 있어서, 상기 유체 전달 조립체가 하나 이상의 노즐로 구성되는 장치.
  4. 제 1 항에 있어서, 상기 유체 전달 시스템이 수직으로 정렬된 하나 이상의 쌍을 이룬 노즐로 구성되는 장치.
  5. 제 1 항에 있어서, 상기 유체 전달 시스템이 에칭제 공급원 및 탈이온수 공급원사이에 선택적으로 연결되는 장치.
  6. 제 1 항에 있어서, 상기 유체 전달 시스템이 에칭제 공급원에 연결된 다수의 제 1 노즐과, 탈이온수 공급원에 연결된 다수의 제 2 노즐로 구성되는 장치.
  7. 제 1 항에 있어서, 상기 기판의 중앙부에 탈이온수를 전달하기 위해 용기내에 배치된 탈이온수 노즐을 추가로 구성하는 장치.
  8. 제 1 항에 있어서, 상기 용기내 배치된 기판 상승 조립체를 추가로 구성하는 장치.
  9. 제 8 항에 있어서, 상기 기판 상승 조립체가 상승 플랫포옴으로 부터 방사방향으로 연장되는 복수의 아암으로 구성되는 장치.
  10. 제 8 항에 있어서, 상기 기판 상승 조립체가 상승 플랫포옴으로 부터 방사방향으로 연장되는 복수의 아암과, 상기 아암들의 말단부에 배치된 복수의 클립들로 구성되는 장치.
  11. 기판을 에칭하는 방법에 있어서,
    회전형 기판 지지부상에 위치된 기판을 회전시키는 단계와;
    상기 기판의 원주부에 에칭제를 전달하는 단계로 구성되는 방법.
  12. 제 11 항에 있어서, 상기 기판이 약 100rpm 내지 1000rpm사이로 회전되는 방법.
  13. 제 11 항에 있어서, 상기 에칭제가 기판의 원주부에 거의 경사되는 방향으로 전달되는 방법.
  14. 제 11 항에 있어서, 상기 에칭제가 기판의 표면으로 부터 약 0°내지 45°사이의 입사각으로 전달되는 방법.
  15. 제 11 항에 있어서, 상기 에칭제가 기판의 전방측 및 후방측에 전달되는 방법.
  16. 제 11 항에 있어서, 에칭후 기판에 세정제를 전달하는 단계를 추가로 구성하는 방법.
  17. 제 16 항에 있어서, 상기 기판을 회전식으로 건조하는 단계를 추가로 구성하는 방법.
  18. 제 11 항에 있어서, 상기 기판의 중앙부에 탈이온수를 전달하는 단계를 추가로 구성하는 방법.
KR1020000038869A 1999-07-09 2000-07-07 에지 비드 제거/회전형 세척 건조(ebr/srd)모듈 KR100717445B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/350,212 US6516815B1 (en) 1999-07-09 1999-07-09 Edge bead removal/spin rinse dry (EBR/SRD) module
US9/350,212 1999-07-09
US09/350,212 1999-07-09

Publications (2)

Publication Number Publication Date
KR20010049738A true KR20010049738A (ko) 2001-06-15
KR100717445B1 KR100717445B1 (ko) 2007-05-14

Family

ID=23375706

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020000038869A KR100717445B1 (ko) 1999-07-09 2000-07-07 에지 비드 제거/회전형 세척 건조(ebr/srd)모듈

Country Status (6)

Country Link
US (1) US6516815B1 (ko)
EP (1) EP1067591A3 (ko)
JP (1) JP4603136B2 (ko)
KR (1) KR100717445B1 (ko)
SG (1) SG80684A1 (ko)
TW (1) TW455920B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100801159B1 (ko) * 2005-06-30 2008-02-11 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 이머젼 리소그라피 에지 비드 제거

Families Citing this family (221)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3395696B2 (ja) * 1999-03-15 2003-04-14 日本電気株式会社 ウェハ処理装置およびウェハ処理方法
US7780867B1 (en) * 1999-10-01 2010-08-24 Novellus Systems, Inc. Edge bevel removal of copper from silicon wafers
KR100436361B1 (ko) * 2000-12-15 2004-06-18 (주)케이.씨.텍 기판 가장자리를 세정하기 위한 장치
JP4743735B2 (ja) * 2001-05-30 2011-08-10 大日本スクリーン製造株式会社 基板処理装置および基板処理方法
JP2002353181A (ja) * 2001-05-30 2002-12-06 Ses Co Ltd 枚葉式基板洗浄方法および枚葉式基板洗浄装置
JP2003006948A (ja) * 2001-06-15 2003-01-10 Fuji Photo Film Co Ltd 光情報記録媒体の製造方法
JP3944368B2 (ja) * 2001-09-05 2007-07-11 株式会社荏原製作所 基板処理装置及び基板処理方法
US6786996B2 (en) 2001-10-16 2004-09-07 Applied Materials Inc. Apparatus and method for edge bead removal
US6708701B2 (en) * 2001-10-16 2004-03-23 Applied Materials Inc. Capillary ring
US7138014B2 (en) * 2002-01-28 2006-11-21 Applied Materials, Inc. Electroless deposition apparatus
US6899816B2 (en) * 2002-04-03 2005-05-31 Applied Materials, Inc. Electroless deposition method
US6905622B2 (en) * 2002-04-03 2005-06-14 Applied Materials, Inc. Electroless deposition method
US20030190426A1 (en) * 2002-04-03 2003-10-09 Deenesh Padhi Electroless deposition method
US20030207206A1 (en) * 2002-04-22 2003-11-06 General Electric Company Limited play data storage media and method for limiting access to data thereon
JP4017463B2 (ja) * 2002-07-11 2007-12-05 株式会社荏原製作所 洗浄方法
KR100518765B1 (ko) * 2002-08-01 2005-10-05 주식회사 에이알티 웨이퍼 식각 장치
US6821909B2 (en) * 2002-10-30 2004-11-23 Applied Materials, Inc. Post rinse to improve selective deposition of electroless cobalt on copper for ULSI application
US20070232072A1 (en) * 2003-04-18 2007-10-04 Bo Zheng Formation of protection layer on wafer to prevent stain formation
US7827930B2 (en) * 2004-01-26 2010-11-09 Applied Materials, Inc. Apparatus for electroless deposition of metals onto semiconductor substrates
US7654221B2 (en) * 2003-10-06 2010-02-02 Applied Materials, Inc. Apparatus for electroless deposition of metals onto semiconductor substrates
US7465358B2 (en) * 2003-10-15 2008-12-16 Applied Materials, Inc. Measurement techniques for controlling aspects of a electroless deposition process
US20070111519A1 (en) * 2003-10-15 2007-05-17 Applied Materials, Inc. Integrated electroless deposition system
US7064065B2 (en) * 2003-10-15 2006-06-20 Applied Materials, Inc. Silver under-layers for electroless cobalt alloys
US20050095830A1 (en) * 2003-10-17 2005-05-05 Applied Materials, Inc. Selective self-initiating electroless capping of copper with cobalt-containing alloys
TW200530427A (en) * 2003-10-17 2005-09-16 Applied Materials Inc Selective self-initiating electroless capping of copper with cobalt-containing alloys
US7476290B2 (en) * 2003-10-30 2009-01-13 Ebara Corporation Substrate processing apparatus and substrate processing method
US7205233B2 (en) * 2003-11-07 2007-04-17 Applied Materials, Inc. Method for forming CoWRe alloys by electroless deposition
US20060003570A1 (en) * 2003-12-02 2006-01-05 Arulkumar Shanmugasundram Method and apparatus for electroless capping with vapor drying
US20050161338A1 (en) * 2004-01-26 2005-07-28 Applied Materials, Inc. Electroless cobalt alloy deposition process
US20050170650A1 (en) * 2004-01-26 2005-08-04 Hongbin Fang Electroless palladium nitrate activation prior to cobalt-alloy deposition
US20050181226A1 (en) * 2004-01-26 2005-08-18 Applied Materials, Inc. Method and apparatus for selectively changing thin film composition during electroless deposition in a single chamber
US20060033678A1 (en) * 2004-01-26 2006-02-16 Applied Materials, Inc. Integrated electroless deposition system
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7371312B2 (en) * 2004-03-31 2008-05-13 Intel Corporation Using cell voltage as a monitor for deposition coverage
US20050253268A1 (en) * 2004-04-22 2005-11-17 Shao-Ta Hsu Method and structure for improving adhesion between intermetal dielectric layer and cap layer
KR100568873B1 (ko) * 2004-11-30 2006-04-10 삼성전자주식회사 웨이퍼의 에지 비드 스트립용 노즐장치
US20060240187A1 (en) * 2005-01-27 2006-10-26 Applied Materials, Inc. Deposition of an intermediate catalytic layer on a barrier layer for copper metallization
US20060246699A1 (en) * 2005-03-18 2006-11-02 Weidman Timothy W Process for electroless copper deposition on a ruthenium seed
US7651934B2 (en) 2005-03-18 2010-01-26 Applied Materials, Inc. Process for electroless copper deposition
US20060252252A1 (en) * 2005-03-18 2006-11-09 Zhize Zhu Electroless deposition processes and compositions for forming interconnects
US20060246217A1 (en) * 2005-03-18 2006-11-02 Weidman Timothy W Electroless deposition process on a silicide contact
US20060211237A1 (en) 2005-03-21 2006-09-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for planarizing gap-filling material
JP4237184B2 (ja) * 2005-03-31 2009-03-11 エルピーダメモリ株式会社 半導体装置の製造方法
US20070071888A1 (en) * 2005-09-21 2007-03-29 Arulkumar Shanmugasundram Method and apparatus for forming device features in an integrated electroless deposition system
US20070099806A1 (en) * 2005-10-28 2007-05-03 Stewart Michael P Composition and method for selectively removing native oxide from silicon-containing surfaces
US20070099422A1 (en) * 2005-10-28 2007-05-03 Kapila Wijekoon Process for electroless copper deposition
US20070209684A1 (en) * 2006-03-07 2007-09-13 Applied Materials, Inc. Copper deposition chamber having integrated bevel clean with edge bevel removal detection
WO2007111976A2 (en) * 2006-03-24 2007-10-04 Applied Materials, Inc. Methods and apparatus for cleaning a substrate
US8100081B1 (en) 2006-06-30 2012-01-24 Novellus Systems, Inc. Edge removal of films using externally generated plasma species
KR100829923B1 (ko) * 2006-08-30 2008-05-16 세메스 주식회사 스핀헤드 및 이를 이용하는 기판처리방법
US8580078B2 (en) 2007-01-26 2013-11-12 Lam Research Corporation Bevel etcher with vacuum chuck
US9732416B1 (en) 2007-04-18 2017-08-15 Novellus Systems, Inc. Wafer chuck with aerodynamic design for turbulence reduction
US8174800B2 (en) * 2007-05-07 2012-05-08 Canon Anelva Corporation Magnetoresistive element, method of manufacturing the same, and magnetic multilayered film manufacturing apparatus
JP4593601B2 (ja) * 2007-08-03 2010-12-08 キヤノンアネルバ株式会社 汚染物質除去方法、半導体製造方法、及び薄膜形成加工装置
JP4819010B2 (ja) * 2007-09-04 2011-11-16 東京エレクトロン株式会社 処理装置、処理方法および記憶媒体
US7867900B2 (en) 2007-09-28 2011-01-11 Applied Materials, Inc. Aluminum contact integration on cobalt silicide junction
US8419964B2 (en) * 2008-08-27 2013-04-16 Novellus Systems, Inc. Apparatus and method for edge bevel removal of copper from silicon wafers
US8172646B2 (en) * 2009-02-27 2012-05-08 Novellus Systems, Inc. Magnetically actuated chuck for edge bevel removal
JP5840612B2 (ja) 2009-09-21 2016-01-06 ノボ・ノルデイスク・エー/エス 針カニューレの化学エッチングのための方法
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
DE102010017751A1 (de) * 2010-07-06 2012-01-12 Infineon Technologies Bipolar Gmbh & Co. Kg Verfahren und Vorrichtung zur Herstellung einer Randstruktur eines Halbleiterbauelements
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9421617B2 (en) 2011-06-22 2016-08-23 Tel Nexx, Inc. Substrate holder
US8967935B2 (en) 2011-07-06 2015-03-03 Tel Nexx, Inc. Substrate loader and unloader
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
JP5891085B2 (ja) * 2012-03-27 2016-03-22 株式会社Screenホールディングス 基板処理装置および基板処理方法
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
JP5651744B1 (ja) 2013-07-04 2015-01-14 株式会社カイジョー 超音波洗浄装置及び超音波洗浄方法
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
JP6064875B2 (ja) * 2013-11-25 2017-01-25 東京エレクトロン株式会社 液処理装置、液処理方法及び記憶媒体
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
JP7024307B2 (ja) * 2017-01-26 2022-02-24 東京エレクトロン株式会社 塗布膜除去装置、塗布膜除去方法及び記憶媒体
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10875149B2 (en) * 2017-03-30 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for timed dispensing various slurry components
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
JP7364322B2 (ja) * 2018-02-23 2023-10-18 株式会社荏原製作所 基板洗浄装置および基板洗浄方法
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
EP3594748B1 (en) 2018-07-09 2021-04-14 C&D Semiconductor Services. Inc Optimal exposure of a bottom surface of a substrate material and/or edges thereof for cleaning in a spin coating device
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP7309485B2 (ja) * 2019-07-04 2023-07-18 東京エレクトロン株式会社 エッチング装置およびエッチング方法
DE102021116206B3 (de) 2021-06-23 2022-09-29 Infineon Technologies Bipolar Gmbh & Co. Kg Verfahren und Vorrichtung zur Herstellung einer Randstruktur eines Halbleiterbauelements
KR102573825B1 (ko) 2023-05-15 2023-09-04 주식회사 기술공작소바다 이비알 장치

Family Cites Families (106)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3727620A (en) 1970-03-18 1973-04-17 Fluoroware Of California Inc Rinsing and drying device
US3772105A (en) 1970-07-24 1973-11-13 Shipley Co Continuous etching process
US3770598A (en) 1972-01-21 1973-11-06 Oxy Metal Finishing Corp Electrodeposition of copper from acid baths
US4027686A (en) 1973-01-02 1977-06-07 Texas Instruments Incorporated Method and apparatus for cleaning the surface of a semiconductor slice with a liquid spray of de-ionized water
IT1046971B (it) 1975-03-11 1980-09-10 Oxy Metal Industries Corp Begno per l elettrodeposizione di rame e metodo per prepararlo
US3953265A (en) * 1975-04-28 1976-04-27 International Business Machines Corporation Meniscus-contained method of handling fluids in the manufacture of semiconductor wafers
US3990462A (en) * 1975-05-19 1976-11-09 Fluoroware Systems Corporation Substrate stripping and cleaning apparatus
JPS5271871A (en) 1975-12-11 1977-06-15 Nec Corp Washing apparatus
JPS5819350B2 (ja) 1976-04-08 1983-04-18 富士写真フイルム株式会社 スピンコ−テイング方法
US4326940A (en) 1979-05-21 1982-04-27 Rohco Incorporated Automatic analyzer and control system for electroplating baths
US4315059A (en) 1980-07-18 1982-02-09 The United States Of America As Represented By The United States Department Of Energy Molten salt lithium cells
US4405416A (en) 1980-07-18 1983-09-20 Raistrick Ian D Molten salt lithium cells
US4336114A (en) 1981-03-26 1982-06-22 Hooker Chemicals & Plastics Corp. Electrodeposition of bright copper
US4376685A (en) 1981-06-24 1983-03-15 M&T Chemicals Inc. Acid copper electroplating baths containing brightening and leveling additives
DE3272891D1 (en) 1981-10-01 1986-10-02 Emi Ltd Electroplating arrangements
US4429983A (en) * 1982-03-22 1984-02-07 International Business Machines Corporation Developing apparatus for exposed photoresist coated wafers
US4439243A (en) * 1982-08-03 1984-03-27 Texas Instruments Incorporated Apparatus and method of material removal with fluid flow within a slot
US4439244A (en) 1982-08-03 1984-03-27 Texas Instruments Incorporated Apparatus and method of material removal having a fluid filled slot
US4838289A (en) * 1982-08-03 1989-06-13 Texas Instruments Incorporated Apparatus and method for edge cleaning
US4489740A (en) 1982-12-27 1984-12-25 General Signal Corporation Disc cleaning machine
US4510176A (en) * 1983-09-26 1985-04-09 At&T Bell Laboratories Removal of coating from periphery of a semiconductor wafer
US4518678A (en) 1983-12-16 1985-05-21 Advanced Micro Devices, Inc. Selective removal of coating material on a coated substrate
JPS60210840A (ja) * 1984-03-06 1985-10-23 Fujitsu Ltd スピン処理装置
US4519846A (en) 1984-03-08 1985-05-28 Seiichiro Aigo Process for washing and drying a semiconductor element
JPS61279858A (ja) * 1985-06-05 1986-12-10 Mitsubishi Electric Corp ネガレジスト現像装置
US4693805A (en) 1986-02-14 1987-09-15 Boe Limited Method and apparatus for sputtering a dielectric target or for reactive sputtering
JPS62287625A (ja) * 1986-06-06 1987-12-14 Hitachi Ltd スピン式エッチング装置
US4788994A (en) * 1986-08-13 1988-12-06 Dainippon Screen Mfg. Co. Wafer holding mechanism
US4732785A (en) 1986-09-26 1988-03-22 Motorola, Inc. Edge bead removal process for spin on films
FR2623134B1 (fr) 1987-11-13 1991-08-02 Salem Ali Procede technique de decoupe et d'ajourage de plaques metalliques en vue de leur reproduction et incrustation
US5224504A (en) 1988-05-25 1993-07-06 Semitool, Inc. Single wafer processor
US5230743A (en) 1988-05-25 1993-07-27 Semitool, Inc. Method for single wafer processing in which a semiconductor wafer is contacted with a fluid
US5235995A (en) 1989-03-27 1993-08-17 Semitool, Inc. Semiconductor processor apparatus with dynamic wafer vapor treatment and particulate volatilization
US5092975A (en) 1988-06-14 1992-03-03 Yamaha Corporation Metal plating apparatus
JPH01316936A (ja) 1988-06-17 1989-12-21 Toshiba Corp 半導体基板エッチング処理装置
US5316974A (en) 1988-12-19 1994-05-31 Texas Instruments Incorporated Integrated circuit copper metallization process using a lift-off seed layer and a thick-plated conductor layer
JPH02253620A (ja) * 1989-03-28 1990-10-12 Oki Electric Ind Co Ltd 半導体基板の洗浄装置
JP2803143B2 (ja) * 1989-04-14 1998-09-24 カシオ計算機株式会社 半導体ウエハのメッキ前処理方法
JPH02309638A (ja) * 1989-05-24 1990-12-25 Fujitsu Ltd ウエハーエッチング装置
US5039381A (en) 1989-05-25 1991-08-13 Mullarkey Edward J Method of electroplating a precious metal on a semiconductor device, integrated circuit or the like
US5162260A (en) 1989-06-01 1992-11-10 Hewlett-Packard Company Stacked solid via formation in integrated circuit systems
US5055425A (en) 1989-06-01 1991-10-08 Hewlett-Packard Company Stacked solid via formation in integrated circuit systems
US5155336A (en) 1990-01-19 1992-10-13 Applied Materials, Inc. Rapid thermal heating apparatus and method
JP2841618B2 (ja) 1990-01-25 1998-12-24 日本電気株式会社 ウェットエッチング装置
US5222310A (en) 1990-05-18 1993-06-29 Semitool, Inc. Single wafer processor with a frame
US5259407A (en) 1990-06-15 1993-11-09 Matrix Inc. Surface treatment method and apparatus for a semiconductor wafer
US5252807A (en) 1990-07-02 1993-10-12 George Chizinsky Heated plate rapid thermal processor
US5368711A (en) 1990-08-01 1994-11-29 Poris; Jaime Selective metal electrodeposition process and apparatus
US5256274A (en) 1990-08-01 1993-10-26 Jaime Poris Selective metal electrodeposition process
JPH0810686B2 (ja) * 1990-09-14 1996-01-31 株式会社東芝 半導体基板エッチング処理装置
CA2059841A1 (en) 1991-01-24 1992-07-25 Ichiro Hayashida Surface treating solutions and cleaning method
JP2665404B2 (ja) * 1991-02-18 1997-10-22 シャープ株式会社 半導体装置の製造方法
DE4109955A1 (de) 1991-03-26 1992-10-01 Siemens Ag Verfahren zum nasschemischen aetzen einer wolframrueckseitenbeschichtung auf einer halbleiterscheibe
JPH04363022A (ja) * 1991-06-06 1992-12-15 Enya Syst:Kk 貼付板洗浄装置
JPH0513322A (ja) * 1991-07-09 1993-01-22 Ryoden Semiconductor Syst Eng Kk 被膜溶剤塗布装置
JPH06124887A (ja) * 1991-09-27 1994-05-06 Sony Corp 半導体装置の製造方法及びこれに使用できる基板洗浄装置
JPH0715897B2 (ja) 1991-11-20 1995-02-22 株式会社エンヤシステム ウエ−ハ端面エッチング方法及び装置
JPH05160104A (ja) 1991-12-05 1993-06-25 Fujitsu Ltd 半導体ウェーハのウェット処理方法及びウェット処理装置
DE4202194C2 (de) 1992-01-28 1996-09-19 Fairchild Convac Gmbh Geraete Verfahren und Vorrichtung zum partiellen Entfernen von dünnen Schichten von einem Substrat
JP2528413B2 (ja) * 1992-02-03 1996-08-28 オリジン電気株式会社 不要塗膜の剥離方法及び装置
JP2654314B2 (ja) 1992-06-04 1997-09-17 東京応化工業株式会社 裏面洗浄装置
JPH0617291A (ja) 1992-07-03 1994-01-25 Nec Corp 金属めっき装置
US5328589A (en) 1992-12-23 1994-07-12 Enthone-Omi, Inc. Functional fluid additives for acid copper electroplating baths
US5718813A (en) 1992-12-30 1998-02-17 Advanced Energy Industries, Inc. Enhanced reactive DC sputtering system
JP3277404B2 (ja) * 1993-03-31 2002-04-22 ソニー株式会社 基板洗浄方法及び基板洗浄装置
JP3247211B2 (ja) 1993-08-02 2002-01-15 富士通株式会社 配線用銅膜表面の酸化銅除去方法
US5608943A (en) 1993-08-23 1997-03-11 Tokyo Electron Limited Apparatus for removing process liquid
US5415890A (en) 1994-01-03 1995-05-16 Eaton Corporation Modular apparatus and method for surface treatment of parts with liquid baths
US5625170A (en) 1994-01-18 1997-04-29 Nanometrics Incorporated Precision weighing to monitor the thickness and uniformity of deposited or etched thin film
JP3377849B2 (ja) 1994-02-02 2003-02-17 日本エレクトロプレイテイング・エンジニヤース株式会社 ウエーハ用メッキ装置
US5651865A (en) 1994-06-17 1997-07-29 Eni Preferential sputtering of insulators from conductive targets
US5753133A (en) * 1994-07-11 1998-05-19 Applied Komatsu Technology, Inc. Method and apparatus for etching film layers on large substrates
JPH0837143A (ja) 1994-07-25 1996-02-06 Fuji Xerox Co Ltd 半導体処理装置
KR960006409U (ko) * 1994-07-26 1996-02-17 무선전화기의 안테나 장착 장치
US5705223A (en) 1994-07-26 1998-01-06 International Business Machine Corp. Method and apparatus for coating a semiconductor wafer
JPH0878378A (ja) * 1994-09-08 1996-03-22 Toshiba Corp 半導体基板の表面処理方法
JPH08195370A (ja) * 1995-01-13 1996-07-30 Sony Corp エッジクリーン方法
JP3137873B2 (ja) 1995-06-27 2001-02-26 株式会社日立製作所 半導体ウェハシールエッチング装置
JPH0969509A (ja) * 1995-09-01 1997-03-11 Matsushita Electron Corp 半導体ウェーハの洗浄・エッチング・乾燥装置及びその使用方法
JP3447869B2 (ja) * 1995-09-20 2003-09-16 株式会社荏原製作所 洗浄方法及び装置
US5807469A (en) 1995-09-27 1998-09-15 Intel Corporation Flexible continuous cathode contact circuit for electrolytic plating of C4, tab microbumps, and ultra large scale interconnects
JPH09298181A (ja) * 1996-05-07 1997-11-18 Tokyo Ohka Kogyo Co Ltd 基板の裏面洗浄装置
TW357406B (en) * 1996-10-07 1999-05-01 Tokyo Electron Ltd Method and apparatus for cleaning and drying a substrate
US6114254A (en) * 1996-10-15 2000-09-05 Micron Technology, Inc. Method for removing contaminants from a semiconductor wafer
US5937469A (en) * 1996-12-03 1999-08-17 Intel Corporation Apparatus for mechanically cleaning the edges of wafers
TW345681B (en) * 1996-12-13 1998-11-21 Taiwan Semiconductor Mfg Co Ltd Method for removing covering layer on the peripheral edge portion of wafer
KR19980065672A (ko) * 1997-01-14 1998-10-15 김광호 기판 에지 불순물 제거 방법
JP3300624B2 (ja) * 1997-01-24 2002-07-08 東京エレクトロン株式会社 基板端面の洗浄方法
KR100249309B1 (ko) * 1997-02-28 2000-03-15 윤종용 반도체 제조용 포토 레지스트 코팅 장치
TW419716B (en) * 1997-04-28 2001-01-21 Tokyo Electron Ltd Processing apparatus
AT407806B (de) * 1997-05-23 2001-06-25 Sez Semiconduct Equip Zubehoer Anordnung zum behandeln wafer-förmiger gegenstände, insbesondere von siliziumwafern
US5783097A (en) * 1997-06-09 1998-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Process to avoid dielectric damage at the flat edge of the water
US6017437A (en) 1997-08-22 2000-01-25 Cutek Research, Inc. Process chamber and method for depositing and/or removing material on a substrate
TW385489B (en) * 1997-08-26 2000-03-21 Tokyo Electron Ltd Method for processing substrate and device of processing device
JP3469788B2 (ja) * 1997-08-26 2003-11-25 東京エレクトロン株式会社 薄膜除去方法及びその装置
US6159354A (en) 1997-11-13 2000-12-12 Novellus Systems, Inc. Electric potential shaping method for electroplating
US6179983B1 (en) 1997-11-13 2001-01-30 Novellus Systems, Inc. Method and apparatus for treating surface including virtual anode
US5897379A (en) * 1997-12-19 1999-04-27 Sharp Microelectronics Technology, Inc. Low temperature system and method for CVD copper removal
US6117778A (en) * 1998-02-11 2000-09-12 International Business Machines Corporation Semiconductor wafer edge bead removal method and tool
JPH11288903A (ja) * 1998-04-03 1999-10-19 Memc Kk シリコンウエハのエッジ鏡面化方法
DE69929967T2 (de) 1998-04-21 2007-05-24 Applied Materials, Inc., Santa Clara Elektroplattierungssystem und verfahren zur elektroplattierung auf substraten
US6258220B1 (en) 1998-11-30 2001-07-10 Applied Materials, Inc. Electro-chemical deposition system
US6290865B1 (en) 1998-11-30 2001-09-18 Applied Materials, Inc. Spin-rinse-drying process for electroplated semiconductor wafers
US6254760B1 (en) 1999-03-05 2001-07-03 Applied Materials, Inc. Electro-chemical deposition system and method
JP3395696B2 (ja) * 1999-03-15 2003-04-14 日本電気株式会社 ウェハ処理装置およびウェハ処理方法
US6309981B1 (en) 1999-10-01 2001-10-30 Novellus Systems, Inc. Edge bevel removal of copper from silicon wafers

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100801159B1 (ko) * 2005-06-30 2008-02-11 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 이머젼 리소그라피 에지 비드 제거

Also Published As

Publication number Publication date
JP4603136B2 (ja) 2010-12-22
KR100717445B1 (ko) 2007-05-14
SG80684A1 (en) 2001-05-22
EP1067591A3 (en) 2004-03-24
US6516815B1 (en) 2003-02-11
JP2001135612A (ja) 2001-05-18
EP1067591A2 (en) 2001-01-10
TW455920B (en) 2001-09-21

Similar Documents

Publication Publication Date Title
KR100717445B1 (ko) 에지 비드 제거/회전형 세척 건조(ebr/srd)모듈
US6720263B2 (en) Planarization of metal layers on a semiconductor wafer through non-contact de-plating and control with endpoint detection
US6599402B2 (en) Electro-chemical deposition cell for face-up processing of single semiconductor substrates
US6267853B1 (en) Electro-chemical deposition system
JP4766579B2 (ja) 電気化学堆積装置
US6290865B1 (en) Spin-rinse-drying process for electroplated semiconductor wafers
US6770565B2 (en) System for planarizing metal conductive layers
US5833820A (en) Electroplating apparatus
JP3527169B2 (ja) 熱アニーリング可能な銅の電気化学堆積装置
WO1999064647A9 (en) Method and apparatus for simultaneous non-contact electrochemical plating and planarizing of semiconductor wafers using a bipolar electrode assembly
KR102364504B1 (ko) 에지 베벨 제거를 위한 척 및 에지 베벨 제거 전에 웨이퍼를 중심에 위치시키기 위한 방법
US6802947B2 (en) Apparatus and method for electro chemical plating using backside electrical contacts
US20020113039A1 (en) Integrated semiconductor substrate bevel cleaning apparatus and method
US20030070695A1 (en) N2 splash guard for liquid injection on the rotating substrate
US6723224B2 (en) Electro-chemical polishing apparatus
US6863796B2 (en) Method for reducing cu surface defects following cu ECP
US6777338B2 (en) Edge and bevel cleaning process and system
US20020048953A1 (en) Chemical mixture for copper removal in electroplating systems
US6695921B2 (en) Hoop support for semiconductor wafer
TWI789706B (zh) 後電填充模組及用於後電填充模組的校準方法
US20040140287A1 (en) Edge and bevel cleaning process and system
CN114959843A (zh) 后电填充模块及用于后电填充模块的校准方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130429

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20140430

Year of fee payment: 8

LAPS Lapse due to unpaid annual fee