JP3527169B2 - 熱アニーリング可能な銅の電気化学堆積装置 - Google Patents
熱アニーリング可能な銅の電気化学堆積装置Info
- Publication number
- JP3527169B2 JP3527169B2 JP2000107355A JP2000107355A JP3527169B2 JP 3527169 B2 JP3527169 B2 JP 3527169B2 JP 2000107355 A JP2000107355 A JP 2000107355A JP 2000107355 A JP2000107355 A JP 2000107355A JP 3527169 B2 JP3527169 B2 JP 3527169B2
- Authority
- JP
- Japan
- Prior art keywords
- substrate
- wafer
- electrolyte
- chamber
- fluid
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Expired - Fee Related
Links
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67207—Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
- H01L21/6723—Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one plating chamber
-
- C—CHEMISTRY; METALLURGY
- C25—ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
- C25D—PROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
- C25D17/00—Constructional parts, or assemblies thereof, of cells for electrolytic coating
- C25D17/001—Apparatus specially adapted for electrolytic coating of wafers, e.g. semiconductors or solar cells
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67098—Apparatus for thermal treatment
Landscapes
- Engineering & Computer Science (AREA)
- Chemical & Material Sciences (AREA)
- Computer Hardware Design (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Manufacturing & Machinery (AREA)
- Physics & Mathematics (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- General Physics & Mathematics (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Materials Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Sustainable Development (AREA)
- Life Sciences & Earth Sciences (AREA)
- Electrochemistry (AREA)
- General Chemical & Material Sciences (AREA)
- Mechanical Engineering (AREA)
- Electroplating Methods And Accessories (AREA)
- Electrodes Of Semiconductors (AREA)
- Chemical Vapour Deposition (AREA)
Description
の金属層の堆積に関し、より詳しくは、本発明はウェハ
/基板上に金属層を形成する電気化学堆積システムに関
する。
代の超大規模集積回路(ULSI)の重要な技術の1つ
になってきている。この技術の心臓部の多層相互接続
は、高アスペクト比のアパーチャに形成されたコンタク
ト、ビア、ライン、及び他のフィーチャ(形態)を含む
相互接続フィーチャの平面化を要求する。これらの相互
接続フィーチャを信頼性良く形成することは、ULSI
の成功に必要であり、また個々の基板とダイ上に回路密
度と品質を高めるのに必要である。回路密度が増加する
と、ビア、コンタクト及び他のフィーチャの幅と、それ
らの間の誘電性材料の幅は250nm以下に減少し、誘電
性材料の厚さはほぼ一定のままで、その結果、フィーチ
ャのアスペクト比、即ち高さ割る幅は増加する。物理蒸
着(PVD)、化学蒸着(CVD)等の多くの従来の蒸
着プロセスは、アスペクト比が4:1を超える、特に1
0:1を超えるフィーチャを充填するのが困難であっ
た。それゆえ、フィーチャの高さとフィーチャの幅の比
が4:1又はそれ以上の高アスペクト比で、ボイドのな
いナノメートルの大きさのフィーチャを形成するのに多
大な努力がなされてきた。さらに、フィーチャの幅が減
少しても、デバイスの電流は変らないか又は増加し、そ
の結果フィーチャの電流密度は増加した。
は、半導体処理でラインとプラグを形成するのに使用し
た伝統的な金属であった。アルミニウムは、電気抵抗が
低く、二酸化珪素(SiO2)への固着性が優れ、パタ
ーニングが容易で、高純度で得易いからである。しか
し、アルミニウムは、銅等のより導電性の材料より電気
抵抗が高く、またアルミニウムは、エレクトロマイグレ
ーションを受け易く、導体にボイドが形成され易い。銅
とその合金は、アルミニウムより抵抗が低く、アルミニ
ウムと比較してエレクトロマイグレーションへの抵抗性
が非常に高い。集積度のレベルが高く、デバイスの速度
が高いとき経験する高電流密度を維持するのに、これら
の特性は重要である。銅はまた、熱伝導性が良く、高純
度の状態で得られる。それゆえ、半導体基板の1/4ミ
クロン以下の高アスペクト比相互接続フィーチャを充填
するのに、銅が選択される材料になってきている。
望ましいが、ビアの幅が0.35μm(又はそれ以下)の
4:1等の高アスペクト比のフィーチャに銅を堆積する
製造方法の選択は制限されていた。これらのプロセスの
制限の結果、以前は回路基板上のラインを製作するのに
しか使われなかっためっきが、半導体デバイス上のビア
とコンタクトを充填するのに使用されている。金属電気
めっきは、良く知られていて色々の技術で行うことが出
来る。典型的な方法は、フィーチャの表面にバリヤー層
を物理蒸着し、バリヤー層の上に好ましくは銅の導電性
金属シード層を物理蒸着し、シード層上に導電性金属を
充填して、構造/フィーチャを充填する。最後に、堆積
した層と誘電性層とを化学機械研磨(CMP)等により
平面化し、導電性相互接続フィーチャを形成する。
な噴水式めっき器10の簡単化した断面図である。一般
に、噴水式めっき器10は、頂部開口部を有する電解質コ
ンテナ12と、電解質コンテナ12の上に配置された基板ホ
ルダー14と、電解質コンテナ12の底部に配置されたアノ
ード16と、基板22に接触するコンタクトリング20とを備
える。基板ホルダー14の下面に複数の溝24が形成されて
いる。真空ポンプ(図示せず)が、基板ホルダー14に結
合し、溝24と連通して、処理中、基板22を基板ホルダー
14に固定できるように真空を生成する。コンタクトリン
グ20には、複数の金属又は半金属のコンタクトピン26が
基板22の外辺部近くに配置され、中央の基板めっき表面
を形成する。複数のコンタクトピン26が、基板22の狭い
外辺部の上に半径方向内側に延び、コンタクトピン26の
先端で基板22の導電性シード層に接触する。電源(図示
せず)が、ピン26に取り付けられ、基板22に電気バイア
スを供給する。基板22は電解質コンテナ12の上に位置
し、電解質の流れは、セル10の作動中基板のめっき表面
上に垂直に突き当たる。
は、大規模基板に許容可能な結果をもたらすが、多くの
障害物により、ミクロンの大きさで高アスペクト比のフ
ィーチャを有する基板上への信頼性ある電気めっきが損
なわれる。一般に、これらを解決する課題には、基板め
っき表面に均一な電力分布と電流密度を供給して、均一
な厚さの金属層を形成すること、不所望の縁部と裏面の
堆積を防止して、処理する基板と次の基板の汚染を制御
すること、処理中基板を基板ホルダーに固定する真空状
態を保持することが含まれる。また、現在の電気めっき
セルは、他の処理システムの要求に合う満足なスループ
ットを供給せず、また柔軟性ある構造で設計されていな
いので、将来の設計上の規則やギャップを充填する要求
に適合するように拡張することが出来ない。さらに、現
在の電気めっきシステムのプラットホームは、同じシス
テムのプラットホーム内で、堆積の結果をよくするため
の急速熱アニール処理等の電気めっき後の処理を行うこ
とが出来ない。
上の規則やギャップを充填する要求に適合するように拡
張することが出来る柔軟性ある構造で設計され、また他
の処理システムの要求に合う満足なスループットを供給
する電気化学堆積システムの必要性がある。また、基板
めっき表面に均一な電力分布と電流密度を供給して、均
一な厚さの金属層を形成し、処理中基板を基板ホルダー
に固定するため真空状態を保持する電気化学堆積システ
ムの必要性がある。システムが、不所望の縁部と裏面の
堆積を防止して、処理する基板と次の基板の汚染を制御
することが望ましい。さらに、電気化学堆積システム
が、堆積の結果をよくするため、急速熱アニール処理等
の電気化学堆積後の処理を提供することが好ましい。
の規則やギャップを充填する要求に適合するように拡張
することが出来る柔軟性ある構造で設計され、また他の
処理システムの要求に合う満足なスループットを供給す
る電気化学堆積(析出)システムを提供する。一般に電
気化学堆積システムは、メインフレームウェハ移送ロボ
ットを有するメインフレームと、メインフレームと接続
して配置されたローディング(装荷)ステーションと、
ローディングステーションに隣接して配置された急速熱
アニールチャンバと、メインフレームと関連付けて配置
された1つ又はそれ以上の処理セルと、1つ又はそれ以
上の処理セルと流体接続した電解質供給源とを備える。
電気化学堆積システムは、システム制御器を備え、該シ
ステム制御器により、電気化学堆積プロセスと、ローデ
ィングステーションに隣接して配置された熱アニールチ
ャンバを含む電気化学堆積システムの構成要素を制御す
るるのが好ましい。
一な電力分布と電流密度を供給して、均一な厚さの金属
層を形成し、処理中基板を基板ホルダーに固定するため
真空状態を保持する電気化学堆積システムを提供する。
本発明の他の態様では、不所望の縁部と裏面の堆積を防
止し及び/又はなくして、処理する基板と次の基板の汚
染を制御する電気化学堆積システムを提供する。
をよくするため、急速熱アニール処理等の電気化学堆積
処理後の処理を提供する。急速熱アニール処理用の装置
は、電気化学堆積システムのローディングステーション
に隣接して配置された急速熱アニールチャンバを備える
のが好ましい。
利点、目的が詳細に理解できるように、上に簡単に要約
した本発明を、添付図面に示す実施例を参照して、より
詳しく記述する。しかし、本発明は他の同様に有効な実
施例があるので、添付図面は本発明の典型的な実施例を
示すのみで、その範囲を制限するものではない。
ラットホーム200の斜視図である。図3は、本発明の電
気めっきシステムのプラットホーム200の概略図であ
る。図2と3を参照すると、電気めっきシステムのプラ
ットホーム200は、ローディングステーション210と、熱
アニールチャンバ211と、スピン−リンス−ドライ(S
RD)ステーション212と、メインフレーム214とを備え
る。電気めっきシステムのプラットホーム200は、プレ
キシグラスパネル等のパネルを使用した正常な環境に囲
い込まれるのが好ましい。メインフレーム214は、メイ
ンフレーム移送ステーション216と、複数の処理ステー
ション218とを備える。各複数の処理ステーション218
は、1つ又はそれ以上の処理セル240を備える。電解質
補給システム220が、電気めっきシステムのプラットホ
ーム200に隣接して位置し、それぞれ処理セル240に接続
し、電気めっきプロセスで使用する電解質を循環させ
る。1つ又はそれ以上の処理セルと流体接続した電解質
供給源とを備える。電気化学堆積システムは、システム
制御器を備え、該システム制御器により、電気化学堆積
プロセスと、ローディングステーションに隣接して配置
された熱アニールチャンバを含む電気化学堆積システム
の構成要素を制御するるのが好ましい。電気めっきシス
テムのプラットホーム200は、また典型的にはプログラ
ム可能なマイクロプロセッサからなる制御システム222
を備える。
はそれ以上のウェハカセット受取り領域224と、1つ又
はそれ以上のローディングステーション移送ロボット22
8と、少なくとも1つのウェハオリエンター(方向付け
器)230とを備えるのが好ましい。ローディングステー
ション210に含まれるウェハカセット受取り領域224と、
ローディングステーション移送ロボット228と、ウェハ
オリエンターとの数は、システムの所望のスループット
により構成することが出来る。図2と3に示す1実施例
では、ローディングステーション210は、2つのウェハ
カセット受取り領域224と、2つのローディングステー
ション移送ロボット228と、1つのウェハオリエンター2
30とを備える。ウェハ234を含むウェハカセット232が、
ウェハカセット受取り領域224上に装荷され、ウェハを
電気めっきシステムのプラットホームへ導入する。ロー
ディングステーション移送ロボット228は、業界で知ら
れている典型的な移送ロボットである。ウェハオリエン
ター230は、ウェハが適正に処理されるように各ウェハ2
34を所望の方向に位置させる。ローディングステーショ
ン移送ロボット228はまた、ローディングステーション
ステーション210とSDRステーション212の間と、ロー
ディングステーションステーション210と熱アニールチ
ャンバ211の間でウェハ234を移送する。
液体入口を組込んだスピン−リンス−ドライ(SRD)
モジュールの概略斜視図である。図5は、図4のスピン
−リンス−ドライ(SRD)モジュールの側面断面図で
あり、流体入口の間に垂直に配置された処理位置にある
基板を示す。SRDステーション212は、1つ又はそれ
以上のSRDモジュール236と、1つ又はそれ以上のウ
ェハ通り抜けカセット238を備えるのが好ましい。SR
Dステーション212は、ローディングステーション移送
ロボット228の数に対応して2つのSRDモジュール236
を備え、ウェハ通り抜けカセット238はSRDモジュー
ル236の上に位置するのが好ましい。ウェハ通り抜けカ
セット238は、ローディングステーション210とメインフ
レーム214の間でのウェハの移送を容易にする。ウェハ
通り抜けカセット238は、ローディングステーション移
送ロボット228と、メインフレーム移送ステーション216
のロボットとの両方に、アクセスすることが出来る。
6は、底部330aと、側壁330bと、上側シールド330cとを
備え、これら全体でRDモジュールボール330dを形成
し、シールドは側壁に取り付けられ、流体をSDRモジ
ュール内に保持するのを助ける。又は、取外し可能なカ
バーを使用することも出来る。SDRモジュール内にあ
るペデスタル336は、ペデスタル支柱332と、ペデスタル
作動器334とを備える。ペデスタル336は、基板338(図
5)を処理中ペデスタルの上面に支持する。ペデスタル
作動器334は、後述するように、基板を回すためペデス
タルを回転させ、ペデスタルを上昇下降させる。基板
は、複数のクランプ337により、ペデスタル上の適所に
保持することが出来る。クランプは、遠心力でピボット
運動し、基板の縁部の除外領域で基板に係合するのが好
ましい。好適な実施例では、処理中基板がペデスタルか
ら上昇したときのみ、クランプが基板に係合する。他の
保持要素と同様に、真空通路(図示せず)を使用するこ
とも出来る。ペデスタルは、複数のペデスタルアーム33
6aと336bを有し、第2ノズルを通る流体が、基板の下面
の出来るだけ広い表面積に衝突するようにするのが実際
的である。出口339により、流体がSDRモジュールか
ら取出せる。ここで使用する「下」、「上」、「頂
部」、「上方」、「下方」及び他の位置を表す言葉は、
実施例の図面に対してであり、処理装置の相対的な方向
によって変ることがある。
ブ347aに接続される。導管は、ホース、パイプ、又は他
の流体が流れる導管でも良い。バルブ347aは、第1流体
347の流れを制御し、ニードル、玉形、ちょう形、また
は他の種類の色々のバルブから選択することが出来、ま
たソレノイド等のバルブ作動器を備えても良く、このバ
ルブ作動器は制御器362で制御することが出来る。導管3
46は、基板の上に位置する第1流体入口340に接続し、
SDRモジュールに取付ける取付け部分342と、導管346
に取り付ける接続部分344とを備える。第1流体入口340
は、基板の上面に第1流体347を圧力をかけて噴射する
ため、1つの第1ノズル348を有するように示す。しか
し、複数のノズルを使用することが出来、SDRモジュ
ールの内辺部の周りに複数の流体入口を配置することも
出来る。基板上に位置するノズルは、基板の直径の外側
で、ノズルから基板上に液が落ちる危険を少なくするの
が好ましい。第1流体入口は、基板上に位置するカバー
を通して等の色々の位置に取付けることが出来る。さら
に、ノズルは、ボールソケット形継手等の連接部材343
を使用して、色々の位置に移動することが出来る。
に、第2導管352が、制御バルブ349aと、第2ノズル351
を有する第2流体入口50に接続される。第2流体入口50
は、基板の下で、第2ノズル351から基板の下に第2流
体を向けるように上方の角度を向いている。第1流体入
口と同様に、第2流体入口は、複数のノズルと、複数の
流体入口と取付け位置と、連接部材353の使用を含む複
数の方向を備えることも出来る。各流体入口は、色々の
位置でSDRモジュール内に延ばすことも出来る。例え
ば、基板の縁部に沿ったSDRモジュールの外辺部の後
ろに向けてある角度の流れとなるのが望ましいなら、ノ
ズルを半径方向内側に延ばし、ノズルからの吐出は、S
DRモジュールの外辺部の後ろに向けことが出来る。
と、圧力と、タイミングと、任意の関連付けられたバル
ブと、スピンのサイクルをそれぞれ制御することが出来
る。例えば、制御器は、制御パネル又は制御室内に遠隔
に位置し、配管は、遠隔の作動器で制御することが出来
る。点線で示した他の実施例では、第1導管346に導管34
6bが付き、制御バルブ346cがあり、補助流体入口346aが
設けられる。これは、溶解流体を流した後、リンス流体
を基板の後面に流すのに使用することも出来、基板を再
度方向を直すか、又は第2流体入り口を通る流れをリン
ス流体に切換える必要がない。
て配置され、SDRモジュールボール内に取付けられ
る。後述するように、このような配置では、第1流体入
り口は、一般にリンス流体、典型的には脱イオン水又は
アルコールを流す。その結果、基板の後面は、下に向い
て取付けられ、第2流体入り口を流れる流体は、酸等の
溶解流体であり、溶解する材料によって、塩酸、硫酸、
燐酸、フッ化水素酸、または他の溶解液体即ち流体が含
まれる。又は、所望のプロセスが処理した基板をリンス
することであるときは、第1流体と第2流体は両方と
も、脱イオン水又はアルコール等のリンス流体である。
タルは上昇位置にあり、ロボット(図示せず)が、ペデ
スタル上に基板を前面を上にして置く。ペデスタルは、
基板を処理位置に下降させ、そこで基板は第1と第2流
体入口の間に垂直に配置される。一般に、ペデスタル作
動器は、ペデスタルを約5〜5000rpmで、200mmの基
板では典型的には約20〜2000rpmで回転させる。回転
の遠心力により、クランプの下端部337aが、SDRモジ
ュール側壁の外辺部に向かってピボット337bの外側に向
かって回転する。クランプの回転力により、クランプの
上端部337cは内側下側に力がかかり、基板338をペデス
タル336上の位置に、好ましくは基板の縁部に沿って中
心を合わせ保持する。クランプは、基板に接触せずに定
位置で回転し、処理中に基板がペデスタルからかなり上
昇したときのみ、基板をペデスタル上の定位置に保持す
るようにしても良い。ペデスタルが基板を回転させて、
リンス流体が、第1流体入口340から基板の前面へ送ら
れる。酸等の第2流体が、第2流体入口から後面へ送ら
れ、任意の不所望の堆積物を除去する。溶解流体が、堆
積した材料と化学的に反応して、材料を溶解して次に基
板の後面と任意の堆積物が位置する他の領域から流し去
る。好適は実施例では、リンス流体は溶解流体より流速
が速くなるように調節され、基板の前面を溶解流体から
保護するのを助ける。第1と第2流体入口は、基板の大
きさと、それぞれの流速と、スプレーのパターンと、除
去する堆積物の量と種類等によって、最適の性能になる
ように位置する。ある例では、溶解流体が不所望の堆積
物を除去した後、リンス流体が第2流体入口へ回り、基
板の後面をリンスするようにすることも出来る。他の例
では、補助流体入口を使用して、基板の後面にリンス流
体を流し、後面から任意の溶解流体の残留物をリンスす
るようにすることも出来る。基板の前面及び/又は後面
をリンスした後、流体の流れは停止し、ペデスタルは回
転し続け、基板をスピンさせ、それにより表面を有効に
乾燥させる。
れは特定のノズルのスプレーパターンによって変り、フ
ァン、ジェット、円錐形、他のパターンが含まれる。第
1流体がリンス流体であるとき、第1と第2流体がそれ
ぞれの流体入口を通る1つのスプレーパターンは、ファ
ンのパターンであり、200mmのウェハでは圧力は約10
から約15ポンド/平方インチ(psi)であり、流速は
約1から3ガロン/分(gpm)である。
の堆積物を除去し、縁部除外領域を作るのに使用するこ
とも出来る。ノズルの方向と位置、流体の流速、基板の
回転速度、流体の化学組成を調節することにより、基板
の縁部及び/又は縁部除外領域から不所望の堆積物を除
去することが出来る。従って、前面に堆積した材料の溶
解を実質的に防ぐことは、必ずしも基板の縁部又は縁部
除外領域を含むものではない。また、前面上に堆積した
材料の溶解を防ぐことは、少なくとも溶解を防ぎ、堆積
した材料のある前面は所業的価値が減少することを防ぐ
ことを意図している。
は、ディスクを約100から約1000rpmの低速で回転
し、基板の後面に溶解流体を吐出することである。遠心
力により、溶解流体が基板の縁部へ移動し、流体の表面
張力により縁部の周りに流体の層を形成し、基板の縁部
で後面から前面へ溶解流体が覆うようにする。基板の回
転速度と、溶解流体の流速を使用して、前面上を覆う程
度を決めることが出来る。例えば、回転速度が遅くなる
か、又は流速が速くなると、流体が反対側例えば前面を
覆う程度が少なくなる。さらに、前面へ送られるリンス
流体の流速と流れ角度を調節して、基板の縁部及び/又
は前面上の溶解流体の層をずらすことが出来る。ある例
では、初めは、リンス流体なしで溶解流体を使用し、縁
部及び/又は縁部除外領から除去し、その後本発明の上
述したリンス/溶解プロセスを行っても良い。
テーション210と、メインフレーム214の間に接続され
る。一般に、メインフレーム214は、メインフレーム移
送ステーション216と、複数の処理ステーション218とを
備える。図2と3を参照すると、メインフレーム214
は、2つの処理ステーション218を備え、各処理ステー
ション218は2つの処理セル240を有する。メインフレー
ム移送ステーション216は、メインフレーム移送ロボッ
ト242を備える。メインフレーム移送ロボット242は、複
数のそれぞれのロボットアーム244を備え、これらが処
理ステーション218とSDRステーション212内のウェハ
に独立にアクセスすることが出来る。図3に示すよう
に、メインフレーム移送ロボット242は、処理ステーシ
ョン218当たりの処理セル240の数に対応して、2つのロ
ボットアーム244を備える。各ロボットアーム244は、ウ
ェハ移送中ウェハを保持するロボットブレードを備え
る。各ロボットアーム244は、他のアームと独立に動作
することが出来、システム内でウェハを独立して移送で
きることが好ましい。又は、ロボットアーム244は、一
方のロボットアームが収縮するとき、他方のロボットア
ームが伸長するように関連して動作するようにしても良
い。
フリッパーロボット248を備え、ウェハをメインフレー
ム移送ロボット242のロボットブレード246上の前面を上
にした位置から、処理セル240の前面を下にした位置へ
ウェハを移送するのを容易にすることも出来る。フリッ
パーロボット248は本体250を備え、本体250の垂直軸に
対して垂直と回転の運動を行うことが出来、またフリッ
パーロボットアーム252を備え、フリッパーロボットア
ーム252の水平軸に沿って回転運動を行うことが出来
る。フリッパーロボット248によりウェハを反転し移送
するとき、フリッパーロボットアーム252の末端部に配
置された真空吸引グリッパー254が、ウェハを保持する
ことが好ましい。フリッパーロボット248が、前面を下
にした処理のため、ウェハ234を処理セル240内に位置さ
せる。以下に、本発明の電気めっき処理セルを詳細に説
明する。
の断面図である。図6に示す電気めっき処理セル400
は、図2と3に示す電気めっき処理セル240と同じであ
る。一般に電気めっき処理セル400は、ヘッド組立体410
と、処理キット420と、電解質コレクター440とを備え
る。電解質コレクター440は、メインフレーム214の本体
442上に、処理キット420を配置する位置を形成する開口
部443上に固定されるのが好ましい。電解質コレクター4
40は、内壁446と、外壁448と、これらの壁を結合する底
部447とを備える。電解質出口449が、電解質コレクター
440の底部447を通って配置され、チューブ、ホース、パ
イプ、又はその他の流体移送コネクターを通って、電解
質補給システム(図2に示す)に接続される。
ム452上に取付けられる。ヘッド組立体フレーム452は、
取付けポスト454と、カンチレバーアーム456とを備え
る。取付けポスト454は、メインフレーム214の本体442
上に取付けられ、カンチレバーアーム456は、取付けポ
スト454の上部から横方向に延びる。取付けポスト454
は、取付けポスト454の垂直軸に対して回転運動し、ヘ
ッド組立体410が回転できるようにするのが好ましい。
ヘッド組立体410は、カンチレバーアーム456の末端部に
配置された取付けプレート460に取付けられる。カンチ
レバーアーム456の下端部は、取付けポスト454に取付け
られた気圧シリンダー等のカンチレバーアーム作動器45
7に接続される。カンチレバーアーム作動器457は、カン
チレバーアーム456と取付けポスト454の間のジョイント
部に対して、カンチレバーアーム456をピボット運動さ
せる。カンチレバーアーム作動器457が収縮するとき、
カンチレバーアーム456は、ヘッド組立体410を処理キッ
ト420から離れるように動かし、電気めっき処理セル400
から処理キット420を取外し交換するのに必要な空間を
設ける。カンチレバーアーム作動器457が伸長すると
き、カンチレバーアーム456は、ヘッド組立体410を処理
キット420に向かって動かし、ヘッド組立体410内のウェ
ハを処理キット420内の処理位置に向かって動かす。
ダー組立体450と、ウェハ組立体作動器458とを備える。
ウェハ組立体作動器458は、取付けプレート460上に取付
けられ、取付けプレート460を通って下方へ延びるヘッ
ド組立体シャフト462を備える。ヘッド組立体シャフト4
62の下端部は、ウェハホルダー組立体450に接続され、
ウェハホルダー組立体450を処理位置とウェハローディ
ング位置とに位置させる。一般にウェハホルダー組立体
450は、ウェハホルダー464と、カソード接触リング466
とを備える。図7は、カソードコンタクトリング466の1
実施例の断面図である。一般に、カソードコンタクトリ
ング466は、複数の導電性部材が上に配置された環状本
体を備える。環状本体は、絶縁材料で出来ていて、複数
の導電性部材を電気的に絶縁する。本体と導電性部材
は、一緒に内側の基体着座表面を形成し、処理中、基板
を支持し、そこに電流を供給する。
6では、複数の導電性部材765が、少なくとも一部が環状
絶縁性本体770内に配置されている。絶縁性本体770は、
フランジ762と、フランジ762の下に位置する基板着座表
面768へ続く下方傾斜肩部分764とを有し、フランジ762
と基板着座表面768は、ずれてほぼ平行な平面にある。
従って、フランジ762は第1面を形成し、基板着座表面7
68は、第1面に平行な第2面を形成し、肩部分764が2
つの面の間にある。しかし、図7に示すコンタクトリン
グの設計は、例示にすぎない。他の実施例では、肩部分
764はほぼ垂直等のより急な角度で、フランジ762と基板
着座表面768の両方にほぼ垂直であっても良い。又は、
コンタクトリング466は、ほぼ平面で、肩部分764をなく
すことも出来る。しかし、後述する理由により、好適な
実施例では、図6に示す肩部分764又はその変形を有す
る。
配置された複数の外側電気コンタクトパッド780と、基
板着座表面768の一部の上に配置された内側電気コンタ
クトパッド772と、パッド772と780を相互に結合する複
数の埋め込まれた導電性コネクター776とを備える。導
電性部材765は、絶縁性本体770により相互に絶縁され、
この絶縁性本体は、ポリフッ化ビニリデン(PVD
F)、パーフルオロアルコキシ樹脂(PFA)、テフロ
ン、テフゼル、又はアルミナ(Al2O3)又は他のセラ
ミック等の他の絶縁材料で出来ていても良い。外側コン
タクトパッド780は、電源(図示せず)に結合し、処理
中コネクター776経由で内側コンタクトパッド772に電流
と電圧を送る。次に、内側電気コンタクトパッド772
は、基板の外辺部の周りに接触を保持することにより、
基板に電流と電圧を供給する。従って、動作において、
導電性部材765は、基板に電気的に接続した別体の電流
経路として作用する。
めっきに直接関連する。抵抗率を低くするため、導電性
部材765は、銅(Cu)、プラチナ(Pt)、タンタル
(Ta)、チタン(Ti)、金(Au)、銀(Ag)、
ステンレス鋼、又は他の導電性材料で出来ているのが好
ましい。低い抵抗率と低い接触抵抗は、導電性部材765
を導電性材料でコーティングすることによっても達成で
きる。従って、導電性部材765は、例えば、銅(銅の導
電率は約2×10-8Ωm)で出来ていて、プラチナ(プ
ラチナの導電率は約10.6×10-8Ωm)でコーティング
してもよい。ステンレス鋼、モリブテン(Mo)、C
u、Ti等の導電性ベース材料に、窒化タンタル(Ta
N)、窒化チタン(TiN)、ロジウム(Rh)、A
u、Cu又はAgのコーティングをしても良い。さら
に、コンタクトパッド772,780は、導電性コネクター776
に結合する別体のユニットなので、コンタクトパッド77
2,780を、Cu等の1つの材料で作り、導電性部材765を
ステンレス鋼等の他の材料で作ることも出来る。パッド
772,778の何れか又は両方と、導電性コネクター776を導
電性材料でコーティングすることも出来る。さらに、め
っきの再現性は、絶縁体として作用する酸化により、悪
影響を受けるので、内側パッド772は、Pt、Ag、又
はAu等の酸化抵抗性のある材料で作るのが好ましい。
各回路の合計の抵抗は、内側コンタクトパッド772の配
置即ち形状と、コンタクトリング466によりかけられる
力による。これらの要因により、内側コンタクトパッド
772と基板着座表面768との界面で、2つの面の凹凸によ
る集中抵抗RCRがきまる。一般に、かける力が強まる
と、見かけの面積も増加する。次に、見かけの面積は、
RCRと逆の関係にあり、見かけの面積が増加すると、R
CRが減少する。従って、全体の抵抗を最小にするには、
力を最大にするのが好ましい。動作においてかける最大
の力は、基板の降伏力により制限され、過度の力とその
結果の圧力ををかけると、基板は損傷する恐れがある。
しかし、圧力は力と面積の両方に関係するので、最大の
許容される力は、内側コンタクトパッド772の配置によ
る。従って、図7に示すように、内側コンタクトパッド
772は、平らな上面を有するかもしれないが、他の形状
を使用して有利にすることも出来る。例えば、図8と9
に2つの好適な形状を示す。図8はナイフエッジコンタ
クトパッドを示し、図9は半球コンタクトパッドを示
す。当業者は、他の有利な形状を容易に考えることが出
来るであろう。コンタクトの配置、力、抵抗の間の関係
のより完全な議論は、Kenneth E. PitneyのNew Contact
Manual, The J. M. New Company (1973)に記載されて
いて、これをここに参照する。
パッド772(図7に示す)の数により変る。200mmの基
板では、少なくとも24のコネクター776が360°に等間
隔であるのが好ましい。しかし、コネクターの数が限界
のレベルに達すると、コンタクトリング466に対する基
板のコンプライアンスは悪影響を受ける。それゆえ、2
4以上のコネクター776を使用することも出来るが、コ
ンタクトパッド772の形状と基板の堅さによって、接触
の均一性は減少する。同様に、24より少ないコネクタ
ー776を使用することも出来るが、電流はますます制限
され、局所化され、その結果めっきが悪くなる。本発明
で、寸法は特定の用途(例えば、300mm基板)に合う
ように変えることが出来るが、異なる大きさと実施例に
ついて、最適な数を容易に求めることが出来る。
は、絶縁性本体770上に配置され、内側コンタクトパッ
ド772の内側まで延びる分離ガスケット782を備え、これ
がコンタクトリング466の内径を形成する。分離ガスケ
ット782は、内側コンタクトパッド772の少し上まで(例
えば、2〜3ミル)延びるのが好ましく、バイトン、テ
フロン、ブナゴム等のエラストマーが好ましい。絶縁性
本体770もエラストマーである場合は、分離ガスケット7
82も同じ材料でも良い。後者の実施例では、分離ガスケ
ット782と絶縁性本体770は、一体、即ち1つの片として
形成されていても良い。しかし、分離ガスケット782
は、絶縁性本体770から分離し、交換又は清掃のため容
易に取外せるのが好ましい。
体770上に着座する分離ガスケット782の好適な実施例を
示すが、図8と9は、他の実施例を示す。後者の実施例
では、絶縁性本体770は、一部が機械加工で除去され、
接続部材776の上面が露出し、分離ガスケット782はその
上に配置される。従って、分離ガスケット782は、接続
部材776の一部に接触する。この設計では、内側コンタ
クトパッド772に使用する材料が少なくてすみ、内側コ
ンタクトパッド772が金で出来ている等材料のコストが
高い場合は有利である。当業者は、本発明の範囲から離
れずに他の実施例を考えることが出来るであろう。
っき表面の外辺部と接触を維持し、圧縮されて、残りの
カソードコンタクトリング466と基板の間をシールす
る。このシールにより、電解質が基板の縁部と後面に接
触するのを防止する。上述したように、清浄なコンタク
ト表面を維持することが、めっきの再現性を高くするの
に必要である。従来のコンタクトリングの設計は、時間
によりコンタクト表面の形状が変化するので、一貫した
めっきが得られなかった。本発明のコンタクトリング
は、内側コンタクトパッド772に蓄積し、その特性を変
化させるような堆積物をなくすか少なくとも最小にし、
基板のめっき表面全体で高い再現性、一貫した均一なめ
っきを得ることが出来る。
路の可能な構成を表す簡単化した図である。導電性部材
765の間で均一な電流分布を得るため、外部抵抗器700
が、導電性部材765のそれぞれに直列に接続される。外
部抵抗器700の抵抗値(REXTで表す)は、回路の他の構
成要素の抵抗よりずっと大きいのが好ましい。図11に
示すように、各導電性部材765を通る電気回路は、電源7
02と直列に接続された構成要素のそれぞれの抵抗で表さ
れる。REは、電解質の抵抗を示し、これは典型的には
アノードとカソード接触リングの間の距離と電解質の組
成による。従って、RAは、基板めっき表面754に隣接す
る電解質の抵抗を表す。RSは基板めっき表面754の抵抗
を表し、RCは、カソード導電性部材765の抵抗と、内側
コンタクトパッド772と基板めっき表面754の間の圧縮抵
抗との和を表す。一般に、外部抵抗器700の抵抗値(R
EXT)は、少なくともΣR(ここに、ΣRはRE、RA、
RS、RCの合計)である。外部抵抗器の抵抗値
(REXT)は、ΣRより大きく、ΣRを無視することが
出来、各直列回路の抵抗はおよそREXTであるのが好ま
しい。
クトリング466の全ての外側コンタクトパッド780に接続
され、内側コンタクトパッド772を通る並列回路となる
のが好ましい。しかし、内側コンタクトパッドと基板界
面の間の抵抗は、各内側コンタクトパッド772により変
るので、抵抗が低いところではより多い電流が流れ、よ
り多くめっきされる。しかし、各導電性部材765と直列
に外部抵抗器を入れることにより、各導電性部材765を
通る電流の値即ち量は、主に外部抵抗器の値により制御
できるようになる。その結果、各内側コンタクトパッド
772間の電気的性質の変化は、基板上の電流分布に影響
せず、めっき表面に均一な電流密度が出来、その結果め
っき厚さが均一になる。外部抵抗器により又、プロセス
の順番の異なる基板の間でも均一な電流分布が得られ
る。
ンタクトパッド772上の堆積物が集積しないように設計
されているが、複数の基板めっきサイクルで、基板とパ
ッドの界面の抵抗が増加し、ついに許容できない値にな
るかもしれない。電子センサー/アラーム704を外部抵
抗器700の両端に接続し、外部抵抗器の両端の電圧/電
流をモニターし、この問題を解決することも出来る。も
し、外部抵抗器700の両端の電圧/電流が、所定の作動
範囲を超え、基板とパッドの界面の抵抗が高いことを示
せば、電子センサー/アラーム704が、めっき処理を中
断する等調整手段を起動し、オペレータが問題を解決す
るようにすることが出来る。又は、各導電性部材765に
別体の電源を接続し、別々に制御しモニターして、基板
に均一な電流密度を与えることも出来る。電流を調節す
るのに、非常にスマートシステム(VSS)もまた使用
することが出来る。典型的にはVSSは、処理ユニット
と、可変抵抗器、別体電源等の電流を供給及び/又は制
御する業界で知られるデバイスの任意の組合わせを備え
る。内側コンタクトパッド772の生理化学的、電気的性
質は、時間と共に変化するので、VSSはデータのフィ
ードバックを処理し解析する。データを事前に設定した
設定値と比較し、次にVSSは、適当に電流と電圧の変
更を行い、均一な堆積が行われるようにする。
ー組立体470を詳細に説明する。ウェハホルダープレー
ト832はほぼディスク形で、環状凹部840が下面に形成さ
れ、中央に真空ポート841が配置される。ウェハホルダ
ープレート832に1つまたはそれ以上の入口842が形成さ
れ、比較的広がった環状取付けチャンネル843と環状凹
部840へ導く。迅速取外しホース844が、流体源838を入
口842に接続し、そこに流体を供給する。図12に示す
ポンプシステム859が、ポンプ854と、クロスオーバー弁
847と、真空エジェクタ849(ベンチュリとして知られ
る)とを備える。本発明に使用して有利な1つの真空エ
ジェクタは、インディアナ州インディアナポリスのSM
Cニューマチック社から入手できる。ポンプ845は、商
業的に入手できる圧縮ガス源で、ホース851の一端に結
合し、ホース851の他端は真空ポート841に結合してもよ
い。ホース851は、圧力ライン853と、真空エジェクタ84
9が配置された真空ライン855に分かれている。流体の流
れは、クロスオーバー弁847により制御され、この弁
は、圧力ライン853と真空ライン855の間で、選択的にポ
ンプ845との連通を切換える。クロスオーバー弁は、流
体がホース851を通って何れの方向へも流れないように
するオフ設定を有するのが好ましい。ホース851に配置
された遮断弁861が、流体が圧力ライン855の真空エジェ
クタ849を通って上流へ流れないようにする。流体が流
れる所望の方向を矢印で示す。
に、他の配置位置を考えることが出来るであろう。例え
ば、流体源838がガス源である場合は、それをホース851
に結合し、別体の圧縮ガス源、即ちポンプ845をなくす
ことも出来る。さらに、別体のガス源と真空ポンプによ
り、後面の圧力と真空の条件を作ることが出来る。後面
の圧力と真空の両方を供給するのが好ましいが、簡単な
実施例では後面の真空のみを供給できるポンプを備え
る。しかし、後述するように、処理中後面圧力を供給す
れば、堆積の均一性は改善することが出来る。それゆ
え、真空エジェクタとクロスオーバー弁を備える上述し
た配置が好ましい。
リング形マニホルド846が環状凹部840内に配置される。
マニホルド846は、内側肩部848と外側肩部850の間に配
置された取付けレール852を備える。取付けレール852の
少なくとも一部は、環状取付けチャンネル843内に挿入
することが出来る。マニホルド846に形成された複数の
流体出口854が、入口842とブラダー836の連通させる。
Oリング等のシール837が、入口842及び出口854と整列
して環状取付けチャンネル843に配置され、ウェハホル
ダープレート832により固定されて、気密シールを作
る。ネジ等の通常の固定具(図示せず)を使用して、マ
ニホルド846とウェハホルダープレート832に形成したネ
ジを切ったボア(図示せず)を使用し、マニホルド846
をウェハホルダープレート832に固定することも出来
る。
ラダーは、ほぼ半チューブ状の材料片で、各縁部に環状
リップシール856を有する。図12Aで、リップシール8
56は、内側肩部848と外側肩部850の上に配置される。ブ
ラダー836の一部が、マニホルド846により環状凹部840
の壁部に対して押付けられ、このマニホルドは、環状凹
部840より少し幅が狭い(数mm)。従って、マニホル
ド846とブラダー836と、環状凹部840とは、協働して流
体気密シールを形成する。流体の損失を防止するため、
ブラダー836は、シリコンゴム、又は電解質に対して化
学的に不活性で信頼性ある弾力性を示す同等のエラスト
マー等の液体不透過性材料で出来ているのが好ましい。
必要により、図15に示すように、ブラダー836の上に柔
軟なカバー857を配置し、接着又は熱接合により固定す
ることも出来る。カバー857は、バイトン、ブナゴム等
のエラストマーで、例えばケブラーで強化することが出
来る。1実施例では、カバー857とブラダー836は、同じ
材料で出来ている。ブラダー836が破裂し易いときは、
カバー857は特別の用途がある。又は、ブラダー836の厚
さを製造中に単に厚くして、孔があきにくくすることも
出来る。
途によって変るが、本発明から離れない。例えば、図1
2では、2つの入口及び対応する出口があるが、他の実
施例では、ブラダー836に流体を供給するのに、1つの
流体入口を使用することも出来る。
ープレート832の下側に固定することにより、コンテナ
本体802に導入される。これは、ポンプシステム859を係
合させ、ポート841経由で基板821とウェハホルダープレ
ート832の間の空間を真空排気することにより、達成さ
れる。次に、流体源838から入口842へ空気又は水等の流
体を供給することにより、ブラダー836が膨張させられ
る。流体は、マニホルド出口854経由でブラダー836に送
られ、それにより、カソードコンタクトリング466のコ
ンタクトに対して、基板821を均一に圧縮する。次に、
電気めっき処理を行う。次に、電解質が処理キット420
内に基板821に向かって圧送され、露出した基板めっき
表面820に接触する。電源が、カソードコンタクトリン
グ466経由で、基板めっき表面820へ負のバイアスを供給
する。電解質が基板めっき表面820を横切って流れる
と、電解質溶液内のイオンが表面820に引き付けられ、
表面820に堆積して、所望の薄膜を形成する。
て、基板後面の凹凸と、カソードコンタクトリング466
のコンタクトに順応し、それにより、導電性のカソード
コンタクトリング466との調整不良を軽減する。柔軟性
のあるブラダー836により、基板821の外辺部分で流体気
密シールを作ることにより、電解質が基板の後面を汚染
するのを防止する。いったん膨張すると、均一な圧力が
カソードコンタクトリング466に向かって下方へ送ら
れ、基板821とカソードコンタクトリング466がインター
フェースする全てのポイントで、ほぼ均一な力を達成す
る。力は、流体源838により供給される圧力の関数とし
て変化する。さらに、ブラダー組立体470の有効性は、
カソードコンタクトリング466の構成によらない。例え
ば、図12は複数の別体のコンタクトポイントを有する
ピンの構成を示すが、カソードコンタクトリング466
は、連続の表面でも良い。
変化しやすいが、カソードコンタクトリング466により
供給される電流の流れは調節することが出来る。上述し
たように、カソードコンタクトリング466上に酸化物層
が出来、電流を制限する作用をするかもしれない。しか
し、ブラダー836の圧力を増加することは、酸化による
電流の制限を打ち消すかもしれない。圧力を増加する
と、柔軟性ある酸化物層が撓み、カソードコンタクトリ
ング466と基板821はより良く接触する。ブラダー836の
有効性は、カソードコンタクトリング466の形状を変え
ることにより、さらに改善されるかもしれない。例え
ば、ナイフエッジの形状は、酸化物層に丸い縁部又は平
らな縁部より容易に侵入する。
される流体気密のシールにより、ポンプ845は、処理の
前、その間、後に後面の真空又は圧力を選択的に又は連
続的に保持することが出来る。しかし、一般に、電気め
っき処理セル400へ基板を移送中のみ、ポンプ845を運転
して、真空を保持する。連続的にポンプ引きしなくて
も、ブラダー836は、処理中後面真空状態を保持するこ
とが出来るからである。従って、上述したように、ブラ
ダー836を膨張させる一方、ポンプシステム859を解放す
ることにより、例えばクロスオーバー弁859のオフ位置
を選択することにより、後面真空状態は軽減される。ポ
ンプシステム859を解放することは、突然の又は漸次の
プロセスでもよく、それにより真空状態は減少する。こ
の減少は、膨張するブラダー836と、同時に減少する後
面の真空状態の間を制御して交換できる。この交換は、
手動でも、またはコンピュータによっても行うことが出
来る。
る間に連続的に後面を真空ポンプ引きする必要はなく、
また連続ポンプ引きは実際に基板820が曲がり即ちそ
り、不所望の堆積をおこすかもしれない。しかし、処理
する基板に「バウ(そり)」効果を出すため、基板820
に後面圧力を供給するのは好ましい。本発明の発明者
は、バウにより優れた堆積が出来ることを見出した。従
って、ポンプシステム859は、選択的に真空又は圧力状
態を基板の後面に供給することが出来る。200mmのウ
ェハで、基板のバウをつけるため、5psiまでの後面
圧力は好ましい。基板はある程度の柔軟性を示すので、
後面圧力は、基板にバウをつけ、即ち電解質の上方の流
れに対して凸面形状となる。バウの程度は、ポンプシス
テム859により供給される圧力によって、変化する。
に理解できるであろう。例えば、図12Aは、カソード
コンタクトリング466にほぼ等しい直径で、基板後面の
比較的小さな外辺部分を覆うのに十分な表面積を有する
好適なブラダー836を示すが、ブラダー組立体470の形状
を変えることも出来る。従って、ブラダー組立体は、よ
り流体不透過性の材料を使用して構成し、基板821のよ
り大きい表面積を覆うようにすることが出来る。
6に戻ると、ウェハホルダー組立体450が、処理キット4
20の上方に位置する。一般に処理キット420は、ボール4
30と、コンテナ本体472と、アノード組立体474と、フィ
ルター476とを備える。アノード組立体474は、コンテナ
本体472の下方に配置され、コンテナ本体472の下部に取
付けられ、フィルター476は、アノード組立体474とコン
テナ本体472の間に配置さえるのが好ましい。コンテナ
本体472は、円筒形本体で、セラミック、プラスチッ
ク、プレキスグラス(アクリル)、レキサン、PVC、
CPVC、PVDF等の電気的に絶縁性の材料で出来て
いるのが好ましい。又は、コンテナ本体472は、ステン
レス鋼、ニッケルとチタンで作り、テフロン(登録商
標)、PVDF、プラスチック、ゴム及び他の材料の組
合わせ等の絶縁層でコーティングすることも出来る。こ
れらの材料は、電解質に溶解せず、電極(即ち、電気め
っきシステムのアノードとカソード)から電気的に絶縁
できる。コンテナ本体472は、システムで処理するウェ
ハのウェハめっき表面と形状に適合するのが好ましく、
典型的には、円形又は矩形形状である。コンテナ本体47
2の1つの好適な実施例は、円筒形セラミックチューブ
を備え、その内径はウェハの直径とほぼ同じ寸法か少し
大きい。コンテナ本体の大きさが、ウェハめっき表面の
大きさと適合するときは、典型的な電気めっきシステム
で要求される回転運動は、均一なめっきを得るのに必要
ないことが分かった。
外側に延び、環状せき(堰)478を形成する。せき478
は、電解質コレクター440の内壁446の上に延び、電解質
が電解質コレクター440内に流入できるようにする。せ
き478の上面は、コンタクトリング466の仮面と一致する
のが好ましい。せき478の上面は、内側環状平面部分480
と、中間傾斜部分482と、外側下降部分484とを備えるの
が好ましい。ウェハが処理位置に置かれるとき、ウェハ
めっき表面は、コンテナ本体472円筒形開口部の上方に
位置し、カソードコンタクトリング466の下面と、せき4
78の上面の間に、電解質が流れるギャップが形成され
る。カソードコンタクトリング466の下面は、せき478の
内側平面部分480と中間傾斜部分との上方に配置され
る。外側下降部分484は下方に傾き、電解質が電解質コ
レクター440内に流入し易くする。
外側に延び、下側環状フランジ486を形成し、コンテナ
本体472をボール430に固定出来るようにする。環状フラ
ンジ486の外側寸法(即ち、周長)は、開口部444の寸
法、及び電解質コレクター440の内周より小さく、電気
めっき処理セル400から処理キット420を取外して交換で
きるようになっている。環状フランジ486上の複数のボ
ルト488が固定して配置され、ボール430の一致するボル
ト孔を通って下方へ延びる。複数の取外し可能な固定ナ
ット490が、処理キット420をボール430上に固定する。
エラストマーOリング等のシール487が、コンテナ本体4
72とボール430の間のボルト488から半径方向内側に配置
され、処理キット420からの漏れを防止する。ナット/
ボルトの組合わせにより、メンテナンス中に処理キット
420を容易に取外して交換すること出来る。
開口部に取り付けられて、そこを完全に覆い、アノード
組立体474はフィルター476の下に配置されるのが好まし
い。フィルター476とアノード組立体474の間に、スペー
サ492が配置される。フィルター476と、スペーサ492
と、アノード組立体474とは、ネジ及び/又はボルト等
の取外し可能な固定具を使用して、コンテナ本体472の
下面に固定されるのが好ましい。又は、フィルター476
と、スペーサ492と、アノード組立体474とは、ボール43
0に取外し可能に取り付けられる。
して作用する消耗アノードが好ましい。又は、アノード
組立体474は、消耗しないアノードで、電気めっきする
金属は、電解質補給システム600から電解質に供給され
る。図6に示すように、アノード組立体474は、自己密
閉モジュールで、好ましくは銅等の電気めっきする金属
と同じ材料で出来た多孔性アノード囲み494を有する。
又は、アノード囲み494は、セラミック、またはポリマ
ー膜等の多孔性材料で出来ている。銅の電気化学堆積用
高純度銅等の可溶性金属496が、アノードの囲み494内に
配置される。可溶性金属496は、金属粒子、ワイヤー、
または孔あきシートが好ましい。多孔性アノード囲み49
4はまた、溶解する金属により発生した微粒子をアノー
ドの囲み494内に保持するフィルターとして作用する。
消耗しないアノードと比較して、消耗(即ち、可溶性)
アノードは、ガス生成のない電解質を与え、電解質内に
たえず金属を補給する必要が少ない。
囲み494内に挿入され、電源から可溶性金属496への電気
接続を与える。アノード電極コンタクト498は、チタ
ン、プラチナ、プラチナコーティングステンレス鋼等の
電解質に不溶性の導電性材料で出来ているのが好まし
い。アノード電極コンタクト498は、ボール430を通って
延び、電源に接続される。アノード電極コンタクト498
は、アノード電極コンタクト498をボール430に固定する
固定ナット499用のネジ付き部分497を備え、エラストマ
ーのワッシャー等のシール495が、固定ナット499とボー
ル430の間に配置され、処理キット420からの漏れを防止
するのが好ましい。
部分504とを備える。上側環状フランジ506が、円筒形部
分の頂部から半径方向外側へ延びる。上側環状フランジ
506は、コンテナ本体472の下部環状フランジ486からの
ボルト488の数と同じ数の複数の孔508を備える。ボール
430の上側環状フランジ506と、コンテナ本体472の下側
環状フランジ486を固定するため、ボルト488が孔508を
通って挿入され、固定ナット490がボルト488上に固定さ
れる。上側環状フランジ506の外側寸法(即ち、周長)
は、下側環状フランジ486の外側寸法(即ち、周長)と
ほぼ等しいのが好ましい。処理キット420がメインフレ
ーム214上に位置するとき、ボール430の上側環状フラン
ジ506の下面は、メインフレーム214の支持フランジ上に
あるのが好ましい。
74とフィルター476とに適合する。フィルター476とアノ
ード組立体474との外側寸法は、円筒形部分502の内側寸
法より少し小さく、電解質の大部分は、フィルター476
を流れる前に、第1にアノード組立体474を通って流れ
るようにするのが好ましい。ボール430の底部分504は、
電解質入口510を備え、この入口は電解質補給システム2
20からの電解質補給ラインに接続する。アノード組立体
474は、ボール430の円筒形部分502の中間部分に配置さ
れ、アノード組立体474と、底部分504の電解質入口510
との間に電解質が流れるギャップを提供する。
取外し可能コネクターにより接続され、処理キット420
を容易に取外して交換できるのが好ましい。処理キット
420のメンテナンスが必要なとき、処理キット420から電
解質を排出し、電解質供給ラインの電解質流れを停止
し、排出する。電解質供給ラインのコネクターは、電解
質入口510から解放され、アノード組立体474への電気接
続もまた切断される。ヘッド組立体410を上昇又は回転
して、処理キット420を取外すための空間を設ける。次
に、処理キット420をメインフレーム214から取外し、新
しい又は修理した処理キットをメインフレーム214に戻
す。
持フランジに固定し、コンテナ本体472とアノードとフ
ィルターをメンテナンスのため取外すことも出来る。こ
の場合、アノード組立体474とをコンテナ本体472をボー
ル430に固定するナットを取外して、アノード組立体474
とコンテナ本体472を容易に取外せるようにする。新し
い又は修理したアノード組立体474とコンテナ本体472を
メインフレーム214内に戻し、ボール430に固定する。
図である。電解質補給システム600は、一般にメイン電
解質タンク602と、1つ又はそれ以上のフィルタータン
ク604と、1つ又はそれ以上のソースタンク606と、1つ
又はそれ以上の流体ポンプ608とを備える。電解質補給
システム600は、電解質の組成と電解質補給システム600
の動作を制御するための制御器610に接続されている。
制御器610は、電気めっきシステムプラットホーム200の
制御システム222と独立に動作するが、これと一体にな
っているのが好ましい。電解質補給システム600は、電
気めっき処理のため、電気めっき処理セルに電解質を供
給する。図16に示す電解質補給システム600は、図2
と3に示す電解質補給システム220と同様である。メイ
ン電解質タンク602は、電解質供給ライン612を備え、こ
れは1つ又はそれ以上の流体ポンプ608を通って各電気
めっき処理セルに接続されている。電解質補給システム
600は、複数のソースタンクを備え、これはメインタン
ク602に接続され、電解質を構成するのに必要な化学薬
品を供給する。ソースタンクは、典型的には、電解質を
組成するための、脱イオン水ソースタンクと、硫酸銅ソ
ースタンクを備える。脱イオン水ソースタンクは、メン
テナンス中にシステムを清掃するためのも、システムに
脱イオン水を供給する。
ンク602に接続された複数のフィルタータンク604を備え
る。各処理セルと1つ又はそれ以上のフィルタータンク
604の間に、電解質戻りライン614が接続されているのが
好ましい。フィルタータンク604は、電解質がメインタ
ンク602に戻る前に、再使用のため、使用した電解質の
不所望の内容物を除去する。メインタンク602は、1つ
又はそれ以上のフィルタータンク604に接続され、メイ
ンタンク602内の電解質がフィルタータンク604を通って
再循環と濾過されるのを促進するのが好ましい。電解質
をメインタンク602からフィルタータンク604を通って再
循環させることにより、電解質内の不所望の内容物はフ
ィルタータンク604により除去される。
組成のリアルタイムの化学分析を行う化学分析器616を
備えるのが好ましい。化学分析器616からの情報は、制
御器610に入力され、それはその情報を使用して、ソー
スの化学薬品補給速度をリアルタイムで調節して、電気
めっき処理の間、電解質を一定の化学組成に保持する。
さらに、化学分析器は、電解質の有機と非有機の成分の
分析を行う。
それ以上の補助のタンク、例えばSRDステーションを
備え、水清浄化システム用の化学薬品を貯蔵するのが好
ましい。電解質補給システム600は、また電解質排出物
処分システム622に接続した電解質排出物ドレン620を備
え、電気めっきシステムで使用した電解質、化学薬品、
及び他の流体を安全に処分する。電気めっきセルは、電
解質排出物ドレン又は電解質排出物処分システムに直接
接続したラインを備え、電解質補給システム600を通っ
て電解質を戻さずに、電気めっきセルから排水すること
が出来るのが好ましい。電解質補給システム600は、ま
た過剰の電解質を電解質排出物ドレンに出液するブリー
ド接続を備えるのが好ましい。オプションとして、電解
質補給システム600は、補助の又は外部電解質処理シス
テムを備え、電気めっきシステムに追加の電解質を供給
するのが好ましい。電解質補給システム600は、有害な
材料の給送に二重配管を使用し、システム内を化学薬品
を安全に輸送するのが好ましい。電解質補給システム60
0は、メインタンクと熱接続されて配置された熱交換器6
24即ちヒーター/チラーにより、電解質の温度を制御す
るのが好ましい。熱交換器624は、制御器610に接続され
これにより作動する。
ャンバの断面図である。急速熱アニール(RTA)チャ
ンバ211は、ローディングステーション210に接続され、
基板は、ローディングステーション移送ロボット288に
より、RTAチャンバ211に出し入れするのが好まし
い。図2と3に示す電気めっきシステムは、ローディン
グステーション210の対称な設計に対応して、ローディ
ングステーション210の両側に2つのRTAチャンバ211
を備えるのが好ましい。熱アニール処理チャンバは、業
界で良く知られていて、急速熱アニールチャンバは、典
型的には基板処理システムで使用され、堆積した材料の
特性を高める。本発明では、ホットプレートの設計、加
熱ランプの設計等の色々の熱アニーリングチャンバの設
計を使用して、電気めっきの結果を良くすることを意図
している。本発明に有用な1つの特定の熱アニーリング
チャンバは、カリフォルニア州サンタクララのアプライ
ドマテリアル社から得られるWxZチャンバである。本
発明はホットプレート急速熱アニールチャンバを使用し
て記述するが、本発明は他の熱アニールチャンバを使用
することも意図している。
ヒータープレート904と、ヒーター907と、複数の基板支
持ピン906とを備える。囲み902は、ベース908と、側壁9
10と、頂部912とを備える。囲みの頂部912の下にコール
ドプレート913が配置されるのが好ましい。又は、コー
ルドプレートは、囲みの頂部912の位置部として一体に
形成されていても良い。ベース908上の囲み902の内側
に、反射器断熱材ディッシュ914が配置されるのが好ま
しい。反射器断熱材ディッシュ914は、水晶、アルミ
ナ、または高温(約500℃以上)に耐えることが出来る
他の材料で出来ていて、ヒーター907と囲み902の間の断
熱材として作用する。ディッシュ914は、金等の反射材
料でコーティングされ、熱をヒータープレート904に向
けるようにしても良い。
する基板と比較して大きい質量を有し、炭化珪素、水
晶、またはRTAチャンバ211内の環境ガス又は基板材
料と反応しない他の材料で出来ているのが好ましい。ヒ
ーター907は、抵抗ヒーター要素又は導電/放射熱源で
あり、ヒータープレート906と反射器断熱材ディッシュ9
14の間に配置されるのが好ましい。ヒーター907は、電
源916に接続され、これがヒーター907を加熱するのに必
要な熱を供給する。熱電対920が導管922内にベース908
とディッシュを通って配置され、ヒータープレート904
内に延びるのが好ましい。熱電対920は、制御器(即
ち、後述するシステム制御器)に接続され、制御器に温
度測定値を供給する。制御器は、温度測定値と所望のア
ニール温度によって、ヒーター907により供給される熱
を増減させる。
910と熱接触する冷却部材918を備え、囲み902を冷却す
るのが好ましい。又は、側壁910内に1つ又はそれ以上
の冷却チャンネル(図示せず)が形成され、囲み902の
温度を制御する。頂部912の内面に配置されたコールド
プレート913が、コールドプレート913に近接して位置す
る基板を冷却する。RTAチャンバ211は、囲み902の側
壁910上に配置されたスリット弁922を備え、RTAチャ
ンバに基板を出し入れし易くする。スリット弁922は、
囲みの側壁910上の開口部924を選択的にシールする。こ
の開口部は、ローディングステーション210と連通す
る。ローディングステーション移送ロボット228(図
2)は、開口部924を通ってRTAチャンバに基板を出
し入れする。
ウム、炭化珪素、または他の高温抵抗性の材料で出来た
末端テーパ付き部材を備えるのが好ましい。各基板支持
ピン906は、好ましくは熱と酸素に抵抗性の材料で出来
たチューブ状導管926内に配置され、ヒータープレート9
04を通って延びる。基板支持ピン906は、リフトプレー
ト928に接続され、基板支持ピン906を均一に移動させ
る。リフトプレート928は、リフトシャフト932によりス
テッパモーター等の作動器930に接続され、基板をRT
Aチャンバ内で色々の垂直位置に位置させることが出来
るようにする。リフトシャフト932は、囲み902のベース
908を通って延び、シャフトの周りに配置されたシール
フランジ934によりシールされる。
は、スリット弁922を開き、ローディングステーション
移送ロボット228は、開口部924を通ってRTAチャンバ
内へ基板を載せたロボットブレードを延ばす。ローディ
ングステーション移送ロボット228のロボットブレード
は、RTAチャンバ内のヒータープレート904の上に基
板を置き、基板支持ピン906が上方へ延びて、基板をロ
ボットブレードに上へ上昇させる。次に、ロボットブレ
ードは、RTAチャンバから収縮して出て、スリット弁
922が開口部を閉じる。次に、基板支持ピン906は、収縮
して基板をヒータープレート904から所望の距離に低下
させる。オプションとして、基板支持ピン906は、基板
がヒータープレートと直接接触するように完全に収縮さ
せても良い。
て配置され、アニール処理工程の間選択したガス流をR
TAチャンバ211へ流れるようにするのが好ましい。ガ
ス入口936は、弁940を通ってガス源938に接続され、R
TAチャンバ211へ流入するガスの流れを制御する。囲
み902の側壁910の下部に、ガス出口942が配置され、T
RAチャンバのガスを排気するのが好ましく、チャンバ
の外側から大気の逆流を防ぐため、レリーフ/逆止弁94
4に接続されるのが好ましい。オプションとして、ガス
出口942は、真空ポンプ(図示せず)に接続され、アニ
ール処理中、RTAチャンバを所望の真空レベルに排気
する。
めっきされ、SDRステーションでクリーニングされた
後、基板はRTAチャンバ211でアニールされる。アニ
ール処理工程中、RTAチャンバ211は、およそ大気圧
に保持され、RTAチャンバ211内の酸素含有量は、約1
00ppm以下に制御するのが好ましい。RTAチャンバ
211内の環境は、窒素(N2)、又は窒素(N2)と4%未
満の水素の組み合わせで、RTAチャンバ211内へ流入
する環境ガス流は、20リットル/分より大きく保持し
て、酸素含有量を約100ppm以下に制御するのが好ま
しい。電気めっきした基板は、好ましくは約200℃〜約4
50℃の温度で約30秒〜30分、より好ましくは約250℃〜
約400℃の温度で約1分〜5分アニールする。急速熱アニ
ール処理は、典型的には、少なくとも50℃/分の昇温速
度を要する。アニール処理中、要求される基板の昇温速
度与えるため、ヒータープレートは約350℃〜約450℃に
保持し、基板は約0mm(即ち、ヒータープレートに接
触)とヒータープレートから約20mmに位置するのが好
ましい。制御システム222が、RTAチャンバ内の所望
の環境とヒータープレートの温度を含むRTAチャンバ
211の動作を制御するのが好ましい。
ピン906が、RTAチャンバ211の外へ移送する位置へ、
基板を上昇させる。スリット弁922が開き、ローディン
グステーション移送ロボット228のロボットブレード
は、RTAチャンバ内に伸長し、基板の下に位置する。
基板支持ピン906が収縮して、基板をロボットブレード
上に下降させ、次にロボットブレードは、収縮してRT
Aチャンバの外へ出る。次に、ローディングステーショ
ン移送ロボット228は、処理した基板をカセット232内へ
移送し、電気めっき処理システム(図2と3)の外へ取
出せるようにする。
トホーム200は、プラットホームの各構成要素の機能を
制御する制御システム222を備える。制御システム222
は、メインフレーム214上に取付けられ、プログラム可
能なマイクロプロセッサを備えるのが好ましい。プログ
ラム可能なマイクロプロセッサは、典型的には、電気め
っきシステムプラットホーム200の全ての構成要素を制
御するために特に設計したソフトウェアを使用する。制
御システム222はまた、システムの構成要素への電源を
提供し、また制御パネル223を備え、これによりオペレ
ータは、電気めっきシステムプラットホーム200をモニ
ターし運転することができる。図2に示すように、制御
パネル223は、スタンドアローン型モジュールで、ケー
ブルにより制御システム222に接続され、オペレータが
容易にアクセスできる。一般に、制御システム222は、
ローディングステーション210と、RTAチャンバ211
と、SRDステーション212と、メインフレーム214と、
処理ステーション218の動作と協働する。さらに、制御
システム222は、電解質補給システム600の制御器と協働
し、電気めっき処理のために電解質を供給する。
ットホーム200による典型的なウェハ電気めっき処理手
順の説明である。複数のウェハを含むウェハカセット
が、電気めっきシステムプラットホーム200のローディ
ングステーション210内のウェハカセット受取り領域224
に載せられる。ローディングステーション移送ロボット
228は、ウェハカセットのウェハスロットからウェハを
取り上げ、ウェハをウェハオリエンター230に置く。ウ
ェハオリエンター230は、ウェハの方向を求め所望の方
向に向け、システムで処理できるようにする。ローディ
ングステーション移送ロボット228は、次に、ウェハオ
リエンター230から方向付けられたウェハを移送し、S
DRステーション212のウェハ通り抜けカセット238の1
つのウェハスロットに位置させる。メインフレーム移送
ロボット242が、ウェハ通り抜けカセット238からウェハ
を取り上げ、フリッパーロボット248により移送できる
ようにウェハを位置させる。フリッパーロボット248
は、そのロボットブレードをウェハの下で回転させ、メ
インフレーム移送ロボットブレードからウェハを取り上
げる。フリッパーロボットブレード上の真空吸引グリッ
パーが、フリッパーロボットブレード上にウェハを固定
し、フリッパーロボットは、ウェハを表面を上にした位
置から表面を下にした位置へ反転させる。フリッパーロ
ボット248は、ウェハを回転し、ウェハホルダー組立体4
50内に表面を下にして位置させる。ウェハは、ウェハホ
ルダー464の下方で、カソードコンタクトリング466の上
方に位置する。次に、フリッパーロボット248は、ウェ
ハを解放して、ウェハをカソードコンタクトリング466
内に位置させる。ウェハホルダー464が、ウェハに向か
って移動し、真空チャックが、ウェハをウェハホルダー
464上に固定する。ウェハホルダー組立体450上のブラダ
ー組立体470が、ウェハの後面に圧力をかけ、ウェハめ
っき表面とカソードコンタクトリング466の間の電気接
触を行う。
理位置に下降する。この位置で、ウェハは、せき478の
上面より下で、処理キット420内に含まれる電解質と接
触する。電源が作動して、電力(即ち、電圧と電流)を
カソードとアノードに供給し、電気めっき処理を出来る
ようにする。電気めっき処理中、典型的には電解質が連
続的に処理機と内にポンプで送られる。カソードとアノ
ードに供給される電力と電解質の流れは、制御システム
222により制御され、所望の電気めっきの結果を得られ
る。
体410が、ウェハホルダー組立体を上昇させ、電解質か
らウェハを取出す。ウェハホルダーの真空チャックとブ
ラダー組立体は、ウェハホルダーからウェハを解放し、
ウェハホルダーは上昇して、フリッパーロボットブレー
ドが、カソードコンタクトリングから処理したウェハを
取り上げられるようにする。フリッパーロボットは、カ
ソードコンタクトリング内の処理したウェハの後面の上
でフリッパーロボットブレードを回転し、フリッパーロ
ボットブレード上の真空吸引グリッパーを使用して、ウ
ェハを取り上げる。フリッパーロボットは、フリッパー
ロボットブレードを回転し、ウェハはウェハホルダー組
立体の外に出て、ウェハを表面を下にした位置から表面
を上にした位置へ反転させ、メインフレーム移送ロボッ
トブレード上にウェハを位置させる。次に、メインフレ
ーム移送ロボットブレードは、処理したウェハを移送
し、SDRモジュール236の上に位置させる。SDRウ
ェハ支持が、ウェハを上昇させ、メインフレーム移送ロ
ボットブレードが、収縮してSDRモジュール236から
離れる。ウェハは、SDRモジュール内で、脱イオン水
又は上述した脱イオン水とクリーニング流体の組合わせ
でクリーニングされる。次に、ウェハは、SDRモジュ
ールから移送して出すように位置する。ローディングス
テーション移送ロボット228は、SDRモジュール236か
らウェハを取り上げ、処理したウェハをRTAチャンバ
211内へ移送し、堆積した材料の特性を高めるため、ア
ニール処理出来るようにする。次に、アニールしたウェ
ハは、ローディングステーションロボット228によりR
TAチャンバ211から移送して出され、ウェハカセット
に戻され、電気めっきシステムから取出せるようにす
る。上述の手順は、本発明の電気めっきシステムプラッ
トホーム200内で、複数のウェハについてほぼ同時に行
うことが出来る。また、本発明による電気めっきシステ
ムは、マルチスタックウェハ処理に適用することが出来
る。
明の基本的範囲から離れずに、本発明の他の及び別の実
施例を考えることが出来、本発明の範囲は、特許請求の
範囲により決まる。
簡単化した断面図。
ホーム200の斜視図。
ホーム200の概略図。
だスピン−リンス−ドライ(SRD)モジュールの概略
斜視図。
ジュール側面断面図であり、流体入口の間に垂直に配置
された処理位置にある基板を示す。
図。
た斜視図。
ドコンタクトリングの断面斜視図。
施例を示すカソードコンタクトリングの断面斜視図。
リングの断面斜視図。
ムの電気回路の簡単化した概略図。
Claims (9)
- 【請求項1】 電気化学堆積システムにおいて、 a) メインフレームウェハ移送ロボットを有するメイン
フレーム、 b) 前記メインフレームと関連付けて配置されたローデ
ィングステーション、 c) 前記メインフレームと関連付けて配置された1つ又
はそれ以上の処理セル、 d) 前記1つ又はそれ以上の処理セルと流体接続した電
解質供給源、 e) 前記ローディングステーションと前記メインフレー
ムの間に配置されたスピン−リンス−ドライ(SRD)
チャンバ、及び、 f) 前記ローディングステーションに隣接して配置され
た熱アニールチャンバを備えることを特徴とするシステ
ム。 - 【請求項2】 前記熱アニールチャンバは、ヒータープ
レートを有する急速熱アニールチャンバである請求項1
に記載したシステム。 - 【請求項3】 前記ヒータープレートは、大気圧ヒータ
ープレートである請求項2に記載したシステム。 - 【請求項4】 g) 前記電気化学堆積システムの1つ又
はそれ以上の構成要素の動作を制御するシステム制御器
を備える請求項1に記載したシステム。 - 【請求項5】 前記熱アニールチャンバは、前記熱アニ
ールチャンバに1つ又はそれ以上のガスを導入するガス
入口を備える請求項4に記載したシステム。 - 【請求項6】 前記システム制御器は、前記チャンバへ
の前記ガス入口を制御し、チャンバ環境の酸素含有量が
100万分の100より少なくなるようにする請求項5に記載
したシステム。 - 【請求項7】 前記ガス入口は、前記チャンバに窒素を
導入するため、窒素ガス源に接続される請求項6に記載
したシステム。 - 【請求項8】 前記ガス入口は、前記チャンバに窒素と
水素ガスを導入するため、窒素ガス源と水素ガス源に接
続され、水素含有量は約4%以下に保持される請求項6
に記載したシステム。 - 【請求項9】 前記ローディングステーションは、 i) 1つ又はそれ以上のウェハカセット受取り領域、 ii) 前記ローディングステーションとSRDステーシ
ョンの間で、又前記ローディングステーションと前記熱
アニールチャンバの間で、ウェハを移送するための、1
つ又はそれ以上のローディングステーションウェハ移送
ロボット、及び、 iii) ウェハオリエンター、 を備える請求項1に記載したシステム。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US09/263,126 US6136163A (en) | 1999-03-05 | 1999-03-05 | Apparatus for electro-chemical deposition with thermal anneal chamber |
US09/263126 | 1999-03-05 |
Publications (2)
Publication Number | Publication Date |
---|---|
JP2001035813A JP2001035813A (ja) | 2001-02-09 |
JP3527169B2 true JP3527169B2 (ja) | 2004-05-17 |
Family
ID=23000485
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2000107355A Expired - Fee Related JP3527169B2 (ja) | 1999-03-05 | 2000-03-03 | 熱アニーリング可能な銅の電気化学堆積装置 |
Country Status (7)
Country | Link |
---|---|
US (1) | US6136163A (ja) |
EP (1) | EP1037263B1 (ja) |
JP (1) | JP3527169B2 (ja) |
KR (1) | KR100386714B1 (ja) |
DE (1) | DE60013009T2 (ja) |
SG (1) | SG82070A1 (ja) |
TW (1) | TW500835B (ja) |
Families Citing this family (333)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6276072B1 (en) | 1997-07-10 | 2001-08-21 | Applied Materials, Inc. | Method and apparatus for heating and cooling substrates |
US7244677B2 (en) * | 1998-02-04 | 2007-07-17 | Semitool. Inc. | Method for filling recessed micro-structures with metallization in the production of a microelectronic device |
WO1999040615A1 (en) | 1998-02-04 | 1999-08-12 | Semitool, Inc. | Method and apparatus for low-temperature annealing of metallization micro-structures in the production of a microelectronic device |
US6416647B1 (en) * | 1998-04-21 | 2002-07-09 | Applied Materials, Inc. | Electro-chemical deposition cell for face-up processing of single semiconductor substrates |
JP3187011B2 (ja) * | 1998-08-31 | 2001-07-11 | 日本電気株式会社 | 半導体装置の製造方法 |
US6514393B1 (en) * | 2000-04-04 | 2003-02-04 | Novellus Systems, Inc. | Adjustable flange for plating and electropolishing thickness profile control |
US6258220B1 (en) | 1998-11-30 | 2001-07-10 | Applied Materials, Inc. | Electro-chemical deposition system |
US7578923B2 (en) * | 1998-12-01 | 2009-08-25 | Novellus Systems, Inc. | Electropolishing system and process |
US6413388B1 (en) | 2000-02-23 | 2002-07-02 | Nutool Inc. | Pad designs and structures for a versatile materials processing apparatus |
US7427337B2 (en) * | 1998-12-01 | 2008-09-23 | Novellus Systems, Inc. | System for electropolishing and electrochemical mechanical polishing |
US7204924B2 (en) * | 1998-12-01 | 2007-04-17 | Novellus Systems, Inc. | Method and apparatus to deposit layers with uniform properties |
US6610190B2 (en) * | 2000-11-03 | 2003-08-26 | Nutool, Inc. | Method and apparatus for electrodeposition of uniform film with minimal edge exclusion on substrate |
US6497800B1 (en) * | 2000-03-17 | 2002-12-24 | Nutool Inc. | Device providing electrical contact to the surface of a semiconductor workpiece during metal plating |
EP1031647A3 (en) * | 1999-02-19 | 2002-03-06 | Solid State Equipment Corporation | Apparatus and method for plating a wafer |
US7192494B2 (en) * | 1999-03-05 | 2007-03-20 | Applied Materials, Inc. | Method and apparatus for annealing copper films |
US6585876B2 (en) | 1999-04-08 | 2003-07-01 | Applied Materials Inc. | Flow diffuser to be used in electro-chemical plating system and method |
US6557237B1 (en) * | 1999-04-08 | 2003-05-06 | Applied Materials, Inc. | Removable modular cell for electro-chemical plating and method |
US6258223B1 (en) * | 1999-07-09 | 2001-07-10 | Applied Materials, Inc. | In-situ electroless copper seed layer enhancement in an electroplating system |
US6673216B2 (en) * | 1999-08-31 | 2004-01-06 | Semitool, Inc. | Apparatus for providing electrical and fluid communication to a rotating microelectronic workpiece during electrochemical processing |
US6660139B1 (en) * | 1999-11-08 | 2003-12-09 | Ebara Corporation | Plating apparatus and method |
US6640151B1 (en) | 1999-12-22 | 2003-10-28 | Applied Materials, Inc. | Multi-tool control system, method and medium |
US6612915B1 (en) | 1999-12-27 | 2003-09-02 | Nutool Inc. | Work piece carrier head for plating and polishing |
US6547937B1 (en) * | 2000-01-03 | 2003-04-15 | Semitool, Inc. | Microelectronic workpiece processing tool including a processing reactor having a paddle assembly for agitation of a processing fluid proximate to the workpiece |
US6471913B1 (en) | 2000-02-09 | 2002-10-29 | Semitool, Inc. | Method and apparatus for processing a microelectronic workpiece including an apparatus and method for executing a processing step at an elevated temperature |
US6780374B2 (en) | 2000-12-08 | 2004-08-24 | Semitool, Inc. | Method and apparatus for processing a microelectronic workpiece at an elevated temperature |
US6354916B1 (en) | 2000-02-11 | 2002-03-12 | Nu Tool Inc. | Modified plating solution for plating and planarization and process utilizing same |
TW584921B (en) * | 2000-02-18 | 2004-04-21 | Applied Materials Inc | Method and apparatus for annealing copper films |
US20060131177A1 (en) * | 2000-02-23 | 2006-06-22 | Jeffrey Bogart | Means to eliminate bubble entrapment during electrochemical processing of workpiece surface |
US20090020437A1 (en) * | 2000-02-23 | 2009-01-22 | Basol Bulent M | Method and system for controlled material removal by electrochemical polishing |
US7141146B2 (en) * | 2000-02-23 | 2006-11-28 | Asm Nutool, Inc. | Means to improve center to edge uniformity of electrochemical mechanical processing of workpiece surface |
US6852208B2 (en) | 2000-03-17 | 2005-02-08 | Nutool, Inc. | Method and apparatus for full surface electrotreating of a wafer |
US6482307B2 (en) | 2000-05-12 | 2002-11-19 | Nutool, Inc. | Method of and apparatus for making electrical contact to wafer surface for full-face electroplating or electropolishing |
US20060118425A1 (en) * | 2000-04-19 | 2006-06-08 | Basol Bulent M | Process to minimize and/or eliminate conductive material coating over the top surface of a patterned substrate |
AU2001247109A1 (en) * | 2000-04-27 | 2001-11-12 | Nutool, Inc. | Conductive structure for use in multi-level metallization and process |
US7195696B2 (en) * | 2000-05-11 | 2007-03-27 | Novellus Systems, Inc. | Electrode assembly for electrochemical processing of workpiece |
US6695962B2 (en) | 2001-05-01 | 2004-02-24 | Nutool Inc. | Anode designs for planar metal deposits with enhanced electrolyte solution blending and process of supplying electrolyte solution using such designs |
US6478936B1 (en) | 2000-05-11 | 2002-11-12 | Nutool Inc. | Anode assembly for plating and planarizing a conductive layer |
TW508658B (en) * | 2000-05-15 | 2002-11-01 | Asm Microchemistry Oy | Process for producing integrated circuits |
US6679951B2 (en) | 2000-05-15 | 2004-01-20 | Asm Intenational N.V. | Metal anneal with oxidation prevention |
US7494927B2 (en) * | 2000-05-15 | 2009-02-24 | Asm International N.V. | Method of growing electrical conductors |
US7273535B2 (en) | 2003-09-17 | 2007-09-25 | Applied Materials, Inc. | Insoluble anode with an auxiliary electrode |
US20050145499A1 (en) * | 2000-06-05 | 2005-07-07 | Applied Materials, Inc. | Plating of a thin metal seed layer |
US6645550B1 (en) * | 2000-06-22 | 2003-11-11 | Applied Materials, Inc. | Method of treating a substrate |
US6454927B1 (en) | 2000-06-26 | 2002-09-24 | Applied Materials, Inc. | Apparatus and method for electro chemical deposition |
US20040079633A1 (en) * | 2000-07-05 | 2004-04-29 | Applied Materials, Inc. | Apparatus for electro chemical deposition of copper metallization with the capability of in-situ thermal annealing |
US6921551B2 (en) | 2000-08-10 | 2005-07-26 | Asm Nutool, Inc. | Plating method and apparatus for controlling deposition on predetermined portions of a workpiece |
US7754061B2 (en) * | 2000-08-10 | 2010-07-13 | Novellus Systems, Inc. | Method for controlling conductor deposition on predetermined portions of a wafer |
US6708074B1 (en) | 2000-08-11 | 2004-03-16 | Applied Materials, Inc. | Generic interface builder |
US6555298B1 (en) * | 2000-08-22 | 2003-04-29 | Micron Technology, Inc. | Method and apparatus for uniformly baking substrates such as photomasks |
JP4644926B2 (ja) * | 2000-10-13 | 2011-03-09 | ソニー株式会社 | 半導体製造装置および半導体装置の製造方法 |
US7188142B2 (en) | 2000-11-30 | 2007-03-06 | Applied Materials, Inc. | Dynamic subject information generation in message services of distributed object systems in a semiconductor assembly line facility |
WO2002047139A2 (en) | 2000-12-04 | 2002-06-13 | Ebara Corporation | Methode of forming a copper film on a substrate |
JP2002173794A (ja) * | 2000-12-05 | 2002-06-21 | Electroplating Eng Of Japan Co | カップ式めっき装置 |
US6802946B2 (en) | 2000-12-21 | 2004-10-12 | Nutool Inc. | Apparatus for controlling thickness uniformity of electroplated and electroetched layers |
US6953392B2 (en) * | 2001-01-05 | 2005-10-11 | Asm Nutool, Inc. | Integrated system for processing semiconductor wafers |
US7172497B2 (en) * | 2001-01-05 | 2007-02-06 | Asm Nutool, Inc. | Fabrication of semiconductor interconnect structures |
AU2002248343A1 (en) * | 2001-01-12 | 2002-08-19 | University Of Rochester | Methods and systems for electro-or electroless-plating of metal in high-aspect ratio features |
US6866763B2 (en) * | 2001-01-17 | 2005-03-15 | Asm Nutool. Inc. | Method and system monitoring and controlling film thickness profile during plating and electroetching |
US6613200B2 (en) | 2001-01-26 | 2003-09-02 | Applied Materials, Inc. | Electro-chemical plating with reduced thickness and integration with chemical mechanical polisher into a single platform |
EP1233441A1 (en) * | 2001-02-19 | 2002-08-21 | Infineon Technologies SC300 GmbH & Co. KG | Arrangement and a method for reducing contamination with particles on a substrate in a process tool |
US7204743B2 (en) * | 2001-02-27 | 2007-04-17 | Novellus Systems, Inc. | Integrated circuit interconnect fabrication systems |
TWI222154B (en) * | 2001-02-27 | 2004-10-11 | Asm Nutool Inc | Integrated system for processing semiconductor wafers |
US20040259348A1 (en) * | 2001-02-27 | 2004-12-23 | Basol Bulent M. | Method of reducing post-CMP defectivity |
US6740221B2 (en) | 2001-03-15 | 2004-05-25 | Applied Materials Inc. | Method of forming copper interconnects |
US7698012B2 (en) | 2001-06-19 | 2010-04-13 | Applied Materials, Inc. | Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing |
US7160739B2 (en) | 2001-06-19 | 2007-01-09 | Applied Materials, Inc. | Feedback control of a chemical mechanical polishing device providing manipulation of removal rate profiles |
US7281741B2 (en) * | 2001-07-13 | 2007-10-16 | Semitool, Inc. | End-effectors for handling microelectronic workpieces |
US7334826B2 (en) * | 2001-07-13 | 2008-02-26 | Semitool, Inc. | End-effectors for handling microelectronic wafers |
US6723224B2 (en) | 2001-08-01 | 2004-04-20 | Applied Materials Inc. | Electro-chemical polishing apparatus |
JP3958539B2 (ja) * | 2001-08-02 | 2007-08-15 | 東京エレクトロン株式会社 | 基板処理装置及び基板処理方法 |
KR20040018558A (ko) * | 2001-08-13 | 2004-03-03 | 가부시키 가이샤 에바라 세이사꾸쇼 | 반도체장치와 그 제조방법 및 도금액 |
US6950716B2 (en) | 2001-08-13 | 2005-09-27 | Applied Materials, Inc. | Dynamic control of wafer processing paths in semiconductor manufacturing processes |
JP3944368B2 (ja) * | 2001-09-05 | 2007-07-11 | 株式会社荏原製作所 | 基板処理装置及び基板処理方法 |
US6786996B2 (en) | 2001-10-16 | 2004-09-07 | Applied Materials Inc. | Apparatus and method for edge bead removal |
US6802947B2 (en) * | 2001-10-16 | 2004-10-12 | Applied Materials, Inc. | Apparatus and method for electro chemical plating using backside electrical contacts |
US6708701B2 (en) | 2001-10-16 | 2004-03-23 | Applied Materials Inc. | Capillary ring |
US6824612B2 (en) * | 2001-12-26 | 2004-11-30 | Applied Materials, Inc. | Electroless plating system |
KR100469912B1 (ko) * | 2001-12-31 | 2005-02-02 | 주식회사 하이닉스반도체 | 반도체 소자의 증착 장치 |
WO2003063067A1 (en) * | 2002-01-24 | 2003-07-31 | Chatterbox Systems, Inc. | Method and system for locating positions in printed texts and delivering multimedia information |
US7138014B2 (en) | 2002-01-28 | 2006-11-21 | Applied Materials, Inc. | Electroless deposition apparatus |
US6824666B2 (en) * | 2002-01-28 | 2004-11-30 | Applied Materials, Inc. | Electroless deposition method over sub-micron apertures |
US20040089421A1 (en) * | 2002-02-15 | 2004-05-13 | Komandur Srinivasan M. | Distributed control system for semiconductor manufacturing equipment |
US6991710B2 (en) | 2002-02-22 | 2006-01-31 | Semitool, Inc. | Apparatus for manually and automatically processing microelectronic workpieces |
JP3902027B2 (ja) * | 2002-03-01 | 2007-04-04 | 大日本スクリーン製造株式会社 | 基板処理装置 |
US20030199112A1 (en) | 2002-03-22 | 2003-10-23 | Applied Materials, Inc. | Copper wiring module control |
US6800833B2 (en) | 2002-03-29 | 2004-10-05 | Mariusch Gregor | Electromagnetically levitated substrate support |
US6899816B2 (en) * | 2002-04-03 | 2005-05-31 | Applied Materials, Inc. | Electroless deposition method |
US6905622B2 (en) * | 2002-04-03 | 2005-06-14 | Applied Materials, Inc. | Electroless deposition method |
WO2003085713A1 (en) * | 2002-04-03 | 2003-10-16 | Applied Materials, Inc. | Homogeneous copper-tin alloy plating for enhancement of electro-migration resistance in interconnects |
US6672716B2 (en) * | 2002-04-29 | 2004-01-06 | Xerox Corporation | Multiple portion solid ink stick |
US6893505B2 (en) * | 2002-05-08 | 2005-05-17 | Semitool, Inc. | Apparatus and method for regulating fluid flows, such as flows of electrochemical processing fluids |
US20040007325A1 (en) * | 2002-06-11 | 2004-01-15 | Applied Materials, Inc. | Integrated equipment set for forming a low K dielectric interconnect on a substrate |
US6803309B2 (en) * | 2002-07-03 | 2004-10-12 | Taiwan Semiconductor Manufacturing Co., Ltd | Method for depositing an adhesion/barrier layer to improve adhesion and contact resistance |
US6875331B2 (en) * | 2002-07-11 | 2005-04-05 | Applied Materials, Inc. | Anode isolation by diffusion differentials |
US20070014656A1 (en) * | 2002-07-11 | 2007-01-18 | Harris Randy A | End-effectors and associated control and guidance systems and methods |
US20060043750A1 (en) * | 2004-07-09 | 2006-03-02 | Paul Wirth | End-effectors for handling microfeature workpieces |
US20040134775A1 (en) * | 2002-07-24 | 2004-07-15 | Applied Materials, Inc. | Electrochemical processing cell |
US7223323B2 (en) * | 2002-07-24 | 2007-05-29 | Applied Materials, Inc. | Multi-chemistry plating system |
US7128823B2 (en) * | 2002-07-24 | 2006-10-31 | Applied Materials, Inc. | Anolyte for copper plating |
US7247222B2 (en) * | 2002-07-24 | 2007-07-24 | Applied Materials, Inc. | Electrochemical processing cell |
US20040217005A1 (en) * | 2002-07-24 | 2004-11-04 | Aron Rosenfeld | Method for electroplating bath chemistry control |
US20050040049A1 (en) * | 2002-09-20 | 2005-02-24 | Rimma Volodarsky | Anode assembly for plating and planarizing a conductive layer |
US20040118699A1 (en) * | 2002-10-02 | 2004-06-24 | Applied Materials, Inc. | Homogeneous copper-palladium alloy plating for enhancement of electro-migration resistance in interconnects |
US6821909B2 (en) * | 2002-10-30 | 2004-11-23 | Applied Materials, Inc. | Post rinse to improve selective deposition of electroless cobalt on copper for ULSI application |
WO2004046835A2 (en) | 2002-11-15 | 2004-06-03 | Applied Materials, Inc. | Method, system and medium for controlling manufacture process having multivariate input parameters |
US20040140217A1 (en) * | 2003-01-22 | 2004-07-22 | Applied Materials, Inc. | Noble metal contacts for plating applications |
DE10302611B4 (de) * | 2003-01-23 | 2011-07-07 | Siltronic AG, 81737 | Polierte Halbleiterscheibe und Verfahren zu deren Herstellung und Anordnung bestehend aus einer Halbleiterscheibe und einem Schild |
US7045040B2 (en) | 2003-03-20 | 2006-05-16 | Asm Nutool, Inc. | Process and system for eliminating gas bubbles during electrochemical processing |
US20070131563A1 (en) * | 2003-04-14 | 2007-06-14 | Asm Nutool, Inc. | Means to improve center to edge uniformity of electrochemical mechanical processing of workpiece surface |
US7311810B2 (en) * | 2003-04-18 | 2007-12-25 | Applied Materials, Inc. | Two position anneal chamber |
US7390383B2 (en) * | 2003-07-01 | 2008-06-24 | Semitool, Inc. | Paddles and enclosures for enhancing mass transfer during processing of microfeature workpieces |
US7393439B2 (en) * | 2003-06-06 | 2008-07-01 | Semitool, Inc. | Integrated microfeature workpiece processing tools with registration systems for paddle reactors |
US20050063798A1 (en) * | 2003-06-06 | 2005-03-24 | Davis Jeffry Alan | Interchangeable workpiece handling apparatus and associated tool for processing microfeature workpieces |
US20050050767A1 (en) * | 2003-06-06 | 2005-03-10 | Hanson Kyle M. | Wet chemical processing chambers for processing microfeature workpieces |
EP1638732A4 (en) * | 2003-06-06 | 2007-06-06 | Semitool Inc | METHODS AND SYSTEMS FOR PROCESSING MICRO-TRACT PARTS WITH STREAM AGITATORS AND / OR MULTIPLE ELECTRODES |
US7313462B2 (en) * | 2003-06-06 | 2007-12-25 | Semitool, Inc. | Integrated tool with automated calibration system and interchangeable wet processing components for processing microfeature workpieces |
US20070144912A1 (en) * | 2003-07-01 | 2007-06-28 | Woodruff Daniel J | Linearly translating agitators for processing microfeature workpieces, and associated methods |
WO2005033376A2 (en) * | 2003-10-02 | 2005-04-14 | Ebara Corporation | Plating method and apparatus |
US7827930B2 (en) | 2004-01-26 | 2010-11-09 | Applied Materials, Inc. | Apparatus for electroless deposition of metals onto semiconductor substrates |
US7654221B2 (en) | 2003-10-06 | 2010-02-02 | Applied Materials, Inc. | Apparatus for electroless deposition of metals onto semiconductor substrates |
US7064065B2 (en) | 2003-10-15 | 2006-06-20 | Applied Materials, Inc. | Silver under-layers for electroless cobalt alloys |
US7465358B2 (en) * | 2003-10-15 | 2008-12-16 | Applied Materials, Inc. | Measurement techniques for controlling aspects of a electroless deposition process |
US20070111519A1 (en) * | 2003-10-15 | 2007-05-17 | Applied Materials, Inc. | Integrated electroless deposition system |
JP4642771B2 (ja) | 2003-10-22 | 2011-03-02 | ネックス システムズ インコーポレイテッド | ワークピースを流体処理する方法及び装置 |
US8536492B2 (en) * | 2003-10-27 | 2013-09-17 | Applied Materials, Inc. | Processing multilayer semiconductors with multiple heat sources |
US20080090309A1 (en) * | 2003-10-27 | 2008-04-17 | Ranish Joseph M | Controlled annealing method |
US7205233B2 (en) | 2003-11-07 | 2007-04-17 | Applied Materials, Inc. | Method for forming CoWRe alloys by electroless deposition |
US20060003570A1 (en) * | 2003-12-02 | 2006-01-05 | Arulkumar Shanmugasundram | Method and apparatus for electroless capping with vapor drying |
KR100562302B1 (ko) * | 2003-12-27 | 2006-03-22 | 동부아남반도체 주식회사 | 멀티 화학액 처리 단계를 이용한 랜덤 폴리머 제거 방법 |
US7256111B2 (en) * | 2004-01-26 | 2007-08-14 | Applied Materials, Inc. | Pretreatment for electroless deposition |
US20050230350A1 (en) | 2004-02-26 | 2005-10-20 | Applied Materials, Inc. | In-situ dry clean chamber for front end of line fabrication |
US7648622B2 (en) | 2004-02-27 | 2010-01-19 | Novellus Systems, Inc. | System and method for electrochemical mechanical polishing |
WO2005093799A1 (ja) * | 2004-03-29 | 2005-10-06 | Hitachi Kokusai Electric Inc. | 半導体装置の製造方法及び基板処理装置 |
US7645364B2 (en) * | 2004-06-30 | 2010-01-12 | Lam Research Corporation | Apparatus and method for plating semiconductor wafers |
US20060009047A1 (en) * | 2004-07-09 | 2006-01-12 | Wirth Paul Z | Modular tool unit for processing microelectronic workpieces |
US20070020080A1 (en) * | 2004-07-09 | 2007-01-25 | Paul Wirth | Transfer devices and methods for handling microfeature workpieces within an environment of a processing machine |
US7155319B2 (en) * | 2005-02-23 | 2006-12-26 | Applied Materials, Inc. | Closed loop control on liquid delivery system ECP slim cell |
US7666773B2 (en) | 2005-03-15 | 2010-02-23 | Asm International N.V. | Selective deposition of noble metal thin films |
US8025922B2 (en) | 2005-03-15 | 2011-09-27 | Asm International N.V. | Enhanced deposition of noble metals |
TW200734482A (en) | 2005-03-18 | 2007-09-16 | Applied Materials Inc | Electroless deposition process on a contact containing silicon or silicide |
US7651934B2 (en) | 2005-03-18 | 2010-01-26 | Applied Materials, Inc. | Process for electroless copper deposition |
US7514353B2 (en) | 2005-03-18 | 2009-04-07 | Applied Materials, Inc. | Contact metallization scheme using a barrier layer over a silicide layer |
US7416975B2 (en) | 2005-09-21 | 2008-08-26 | Novellus Systems, Inc. | Method of forming contact layers on substrates |
EP1839695A1 (en) * | 2006-03-31 | 2007-10-03 | Debiotech S.A. | Medical liquid injection device |
US8500985B2 (en) | 2006-07-21 | 2013-08-06 | Novellus Systems, Inc. | Photoresist-free metal deposition |
US8416383B2 (en) * | 2006-12-13 | 2013-04-09 | Asml Netherlands B.V. | Lithographic apparatus and method |
US20080171436A1 (en) * | 2007-01-11 | 2008-07-17 | Asm Genitech Korea Ltd. | Methods of depositing a ruthenium film |
JP4564973B2 (ja) | 2007-01-26 | 2010-10-20 | 株式会社日立ハイテクノロジーズ | プラズマ処理装置 |
US20080178460A1 (en) * | 2007-01-29 | 2008-07-31 | Woodruff Daniel J | Protected magnets and magnet shielding for processing microfeature workpieces, and associated systems and methods |
US20080181758A1 (en) * | 2007-01-29 | 2008-07-31 | Woodruff Daniel J | Microfeature workpiece transfer devices with rotational orientation sensors, and associated systems and methods |
US20080203083A1 (en) * | 2007-02-28 | 2008-08-28 | Wirth Paul Z | Single wafer anneal processor |
US7867900B2 (en) | 2007-09-28 | 2011-01-11 | Applied Materials, Inc. | Aluminum contact integration on cobalt silicide junction |
KR101544198B1 (ko) | 2007-10-17 | 2015-08-12 | 한국에이에스엠지니텍 주식회사 | 루테늄 막 형성 방법 |
JP5253511B2 (ja) * | 2007-10-24 | 2013-07-31 | オーツェー・エリコン・バルザース・アーゲー | ワークピース製造方法及び装置 |
US7655564B2 (en) | 2007-12-12 | 2010-02-02 | Asm Japan, K.K. | Method for forming Ta-Ru liner layer for Cu wiring |
US7799674B2 (en) | 2008-02-19 | 2010-09-21 | Asm Japan K.K. | Ruthenium alloy film for copper interconnects |
TWI417962B (zh) * | 2008-03-20 | 2013-12-01 | Acm Res Shanghai Inc | 電沉積系統 |
CN101593667B (zh) * | 2008-05-26 | 2011-03-23 | 中芯国际集成电路制造(北京)有限公司 | 提高在不同基底上沉积的介质层厚度一致性的方法 |
US8084104B2 (en) | 2008-08-29 | 2011-12-27 | Asm Japan K.K. | Atomic composition controlled ruthenium alloy film formed by plasma-enhanced atomic layer deposition |
DE102008045256A1 (de) * | 2008-09-01 | 2010-03-04 | Rena Gmbh | Vorrichtung und Verfahren zur Nassbehandlung von unterschiedlichen Substraten |
US8133555B2 (en) | 2008-10-14 | 2012-03-13 | Asm Japan K.K. | Method for forming metal film by ALD using beta-diketone metal complex |
US7927942B2 (en) | 2008-12-19 | 2011-04-19 | Asm International N.V. | Selective silicide process |
US9379011B2 (en) | 2008-12-19 | 2016-06-28 | Asm International N.V. | Methods for depositing nickel films and for making nickel silicide and nickel germanide |
WO2010150590A1 (ja) | 2009-06-24 | 2010-12-29 | キヤノンアネルバ株式会社 | 真空加熱冷却装置および磁気抵抗素子の製造方法 |
US8329569B2 (en) | 2009-07-31 | 2012-12-11 | Asm America, Inc. | Deposition of ruthenium or ruthenium dioxide |
US8343327B2 (en) | 2010-05-25 | 2013-01-01 | Reel Solar, Inc. | Apparatus and methods for fast chemical electrodeposition for fabrication of solar cells |
US9960312B2 (en) | 2010-05-25 | 2018-05-01 | Kurt H. Weiner | Apparatus and methods for fast chemical electrodeposition for fabrication of solar cells |
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
ES2654943T3 (es) * | 2010-07-15 | 2018-02-15 | Luxembourg Institute Of Science And Technology (List) | Sistema de manipulación automatizada de elementos maestros y sustrato |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US8771539B2 (en) | 2011-02-22 | 2014-07-08 | Applied Materials, Inc. | Remotely-excited fluorine and water vapor etch |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US8871617B2 (en) | 2011-04-22 | 2014-10-28 | Asm Ip Holding B.V. | Deposition and reduction of mixed metal oxide thin films |
JP5795965B2 (ja) * | 2011-05-30 | 2015-10-14 | 株式会社荏原製作所 | めっき装置 |
US8771536B2 (en) | 2011-08-01 | 2014-07-08 | Applied Materials, Inc. | Dry-etch for silicon-and-carbon-containing films |
US8679982B2 (en) | 2011-08-26 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and oxygen |
US8679983B2 (en) | 2011-09-01 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and nitrogen |
US8927390B2 (en) | 2011-09-26 | 2015-01-06 | Applied Materials, Inc. | Intrench profile |
US8808563B2 (en) | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
WO2013070436A1 (en) | 2011-11-08 | 2013-05-16 | Applied Materials, Inc. | Methods of reducing substrate dislocation during gapfill processing |
CN102427046B (zh) * | 2011-11-30 | 2013-08-14 | 中国科学院微电子研究所 | 一种电化学淀积结果确定方法 |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9390937B2 (en) | 2012-09-20 | 2016-07-12 | Applied Materials, Inc. | Silicon-carbon-nitride selective etch |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US8765574B2 (en) | 2012-11-09 | 2014-07-01 | Applied Materials, Inc. | Dry etch process |
US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
US8980763B2 (en) | 2012-11-30 | 2015-03-17 | Applied Materials, Inc. | Dry-etch for selective tungsten removal |
US9064816B2 (en) | 2012-11-30 | 2015-06-23 | Applied Materials, Inc. | Dry-etch for selective oxidation removal |
US9111877B2 (en) | 2012-12-18 | 2015-08-18 | Applied Materials, Inc. | Non-local plasma oxide etch |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US8801952B1 (en) | 2013-03-07 | 2014-08-12 | Applied Materials, Inc. | Conformal oxide dry etch |
US10170282B2 (en) | 2013-03-08 | 2019-01-01 | Applied Materials, Inc. | Insulated semiconductor faceplate designs |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US8895449B1 (en) | 2013-05-16 | 2014-11-25 | Applied Materials, Inc. | Delicate dry clean |
US9114438B2 (en) | 2013-05-21 | 2015-08-25 | Applied Materials, Inc. | Copper residue chamber clean |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US9245767B2 (en) | 2013-09-12 | 2016-01-26 | Applied Materials, Inc. | Anneal module for semiconductor wafers |
US8956980B1 (en) | 2013-09-16 | 2015-02-17 | Applied Materials, Inc. | Selective etch of silicon nitride |
US8951429B1 (en) | 2013-10-29 | 2015-02-10 | Applied Materials, Inc. | Tungsten oxide processing |
US9236265B2 (en) | 2013-11-04 | 2016-01-12 | Applied Materials, Inc. | Silicon germanium processing |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9945044B2 (en) * | 2013-11-06 | 2018-04-17 | Lam Research Corporation | Method for uniform flow behavior in an electroplating cell |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9117855B2 (en) | 2013-12-04 | 2015-08-25 | Applied Materials, Inc. | Polarity control for remote plasma |
US9287095B2 (en) | 2013-12-17 | 2016-03-15 | Applied Materials, Inc. | Semiconductor system assemblies and methods of operation |
US9263278B2 (en) | 2013-12-17 | 2016-02-16 | Applied Materials, Inc. | Dopant etch selectivity control |
US9190293B2 (en) | 2013-12-18 | 2015-11-17 | Applied Materials, Inc. | Even tungsten etch for high aspect ratio trenches |
US9287134B2 (en) | 2014-01-17 | 2016-03-15 | Applied Materials, Inc. | Titanium oxide etch |
US9293568B2 (en) | 2014-01-27 | 2016-03-22 | Applied Materials, Inc. | Method of fin patterning |
US9396989B2 (en) | 2014-01-27 | 2016-07-19 | Applied Materials, Inc. | Air gaps between copper lines |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
US9299575B2 (en) | 2014-03-17 | 2016-03-29 | Applied Materials, Inc. | Gas-phase tungsten etch |
US9299538B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9136273B1 (en) | 2014-03-21 | 2015-09-15 | Applied Materials, Inc. | Flash gate air gap |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9269590B2 (en) | 2014-04-07 | 2016-02-23 | Applied Materials, Inc. | Spacer formation |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9847289B2 (en) | 2014-05-30 | 2017-12-19 | Applied Materials, Inc. | Protective via cap for improved interconnect performance |
US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
US9378969B2 (en) | 2014-06-19 | 2016-06-28 | Applied Materials, Inc. | Low temperature gas-phase carbon removal |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US9159606B1 (en) | 2014-07-31 | 2015-10-13 | Applied Materials, Inc. | Metal air gap |
US9378978B2 (en) | 2014-07-31 | 2016-06-28 | Applied Materials, Inc. | Integrated oxide recess and floating gate fin trimming |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9165786B1 (en) | 2014-08-05 | 2015-10-20 | Applied Materials, Inc. | Integrated oxide and nitride recess for better channel contact in 3D architectures |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
US9355856B2 (en) | 2014-09-12 | 2016-05-31 | Applied Materials, Inc. | V trench dry etch |
US9368364B2 (en) | 2014-09-24 | 2016-06-14 | Applied Materials, Inc. | Silicon etch process with tunable selectivity to SiO2 and other materials |
US9355862B2 (en) | 2014-09-24 | 2016-05-31 | Applied Materials, Inc. | Fluorine-based hardmask removal |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US9299583B1 (en) | 2014-12-05 | 2016-03-29 | Applied Materials, Inc. | Aluminum oxide selective etch |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
US9343272B1 (en) | 2015-01-08 | 2016-05-17 | Applied Materials, Inc. | Self-aligned process |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9373522B1 (en) | 2015-01-22 | 2016-06-21 | Applied Mateials, Inc. | Titanium nitride removal |
US9449846B2 (en) | 2015-01-28 | 2016-09-20 | Applied Materials, Inc. | Vertical gate separation |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US9607842B1 (en) | 2015-10-02 | 2017-03-28 | Asm Ip Holding B.V. | Methods of forming metal silicides |
JP6462620B2 (ja) * | 2016-03-29 | 2019-01-30 | 東京エレクトロン株式会社 | 基板処理装置および基板処理方法 |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
JP6859075B2 (ja) * | 2016-11-04 | 2021-04-14 | 株式会社東京精密 | ウエハの搬送保持装置 |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
TWI765936B (zh) | 2016-11-29 | 2022-06-01 | 美商東京威力科創Fsi股份有限公司 | 用以對處理腔室中之微電子基板進行處理的平移與旋轉夾頭 |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
KR102493551B1 (ko) | 2017-01-27 | 2023-01-30 | 티이엘 매뉴팩처링 앤드 엔지니어링 오브 아메리카, 인크. | 프로세스 챔버에서 기판을 회전 및 병진시키기 위한 시스템 및 방법 |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
JP7023094B2 (ja) * | 2017-12-05 | 2022-02-21 | 日本電産サンキョー株式会社 | ロボット |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
TWI716818B (zh) | 2018-02-28 | 2021-01-21 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US11545387B2 (en) * | 2018-07-13 | 2023-01-03 | Tel Manufacturing And Engineering Of America, Inc. | Magnetic integrated lift pin system for a chemical processing chamber |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11174564B2 (en) | 2018-10-31 | 2021-11-16 | Unison Industries, Llc | Electroforming system and method |
US11142840B2 (en) | 2018-10-31 | 2021-10-12 | Unison Industries, Llc | Electroforming system and method |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
US11686208B2 (en) | 2020-02-06 | 2023-06-27 | Rolls-Royce Corporation | Abrasive coating for high-temperature mechanical systems |
CA3141101C (en) | 2021-08-23 | 2023-10-17 | Unison Industries, Llc | Electroforming system and method |
Family Cites Families (52)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US3727620A (en) * | 1970-03-18 | 1973-04-17 | Fluoroware Of California Inc | Rinsing and drying device |
US3770598A (en) * | 1972-01-21 | 1973-11-06 | Oxy Metal Finishing Corp | Electrodeposition of copper from acid baths |
US4027686A (en) * | 1973-01-02 | 1977-06-07 | Texas Instruments Incorporated | Method and apparatus for cleaning the surface of a semiconductor slice with a liquid spray of de-ionized water |
BE833384A (fr) * | 1975-03-11 | 1976-03-12 | Electrodeposition du cuivre | |
JPS5271871A (en) * | 1975-12-11 | 1977-06-15 | Nec Corp | Washing apparatus |
JPS5819350B2 (ja) * | 1976-04-08 | 1983-04-18 | 富士写真フイルム株式会社 | スピンコ−テイング方法 |
US4315059A (en) * | 1980-07-18 | 1982-02-09 | The United States Of America As Represented By The United States Department Of Energy | Molten salt lithium cells |
US4405416A (en) * | 1980-07-18 | 1983-09-20 | Raistrick Ian D | Molten salt lithium cells |
US4336114A (en) * | 1981-03-26 | 1982-06-22 | Hooker Chemicals & Plastics Corp. | Electrodeposition of bright copper |
US4376685A (en) * | 1981-06-24 | 1983-03-15 | M&T Chemicals Inc. | Acid copper electroplating baths containing brightening and leveling additives |
DE3272891D1 (en) * | 1981-10-01 | 1986-10-02 | Emi Ltd | Electroplating arrangements |
JPS58182823A (ja) * | 1982-04-21 | 1983-10-25 | Nec Corp | 半導体ウエハ−のメツキ装置 |
US4489740A (en) * | 1982-12-27 | 1984-12-25 | General Signal Corporation | Disc cleaning machine |
US4428815A (en) * | 1983-04-28 | 1984-01-31 | Western Electric Co., Inc. | Vacuum-type article holder and methods of supportively retaining articles |
US4510176A (en) * | 1983-09-26 | 1985-04-09 | At&T Bell Laboratories | Removal of coating from periphery of a semiconductor wafer |
US4518678A (en) * | 1983-12-16 | 1985-05-21 | Advanced Micro Devices, Inc. | Selective removal of coating material on a coated substrate |
US4519846A (en) * | 1984-03-08 | 1985-05-28 | Seiichiro Aigo | Process for washing and drying a semiconductor element |
US4693805A (en) * | 1986-02-14 | 1987-09-15 | Boe Limited | Method and apparatus for sputtering a dielectric target or for reactive sputtering |
US4732785A (en) * | 1986-09-26 | 1988-03-22 | Motorola, Inc. | Edge bead removal process for spin on films |
JPS63118093A (ja) * | 1986-11-05 | 1988-05-23 | Tanaka Electron Ind Co Ltd | 電子部品の錫めつき方法 |
US5230743A (en) * | 1988-05-25 | 1993-07-27 | Semitool, Inc. | Method for single wafer processing in which a semiconductor wafer is contacted with a fluid |
US5224504A (en) * | 1988-05-25 | 1993-07-06 | Semitool, Inc. | Single wafer processor |
US5235995A (en) * | 1989-03-27 | 1993-08-17 | Semitool, Inc. | Semiconductor processor apparatus with dynamic wafer vapor treatment and particulate volatilization |
US5092975A (en) * | 1988-06-14 | 1992-03-03 | Yamaha Corporation | Metal plating apparatus |
US5316974A (en) * | 1988-12-19 | 1994-05-31 | Texas Instruments Incorporated | Integrated circuit copper metallization process using a lift-off seed layer and a thick-plated conductor layer |
JPH02197599A (ja) * | 1989-01-25 | 1990-08-06 | Yamaha Motor Co Ltd | 金属表面の化学処理装置 |
US5039381A (en) * | 1989-05-25 | 1991-08-13 | Mullarkey Edward J | Method of electroplating a precious metal on a semiconductor device, integrated circuit or the like |
US5162260A (en) * | 1989-06-01 | 1992-11-10 | Hewlett-Packard Company | Stacked solid via formation in integrated circuit systems |
US5055425A (en) * | 1989-06-01 | 1991-10-08 | Hewlett-Packard Company | Stacked solid via formation in integrated circuit systems |
JP2824280B2 (ja) * | 1989-06-30 | 1998-11-11 | ヤマハ発動機株式会社 | ワークの表面処理装置 |
US5155336A (en) * | 1990-01-19 | 1992-10-13 | Applied Materials, Inc. | Rapid thermal heating apparatus and method |
US5222310A (en) * | 1990-05-18 | 1993-06-29 | Semitool, Inc. | Single wafer processor with a frame |
US5259407A (en) * | 1990-06-15 | 1993-11-09 | Matrix Inc. | Surface treatment method and apparatus for a semiconductor wafer |
US5252807A (en) * | 1990-07-02 | 1993-10-12 | George Chizinsky | Heated plate rapid thermal processor |
US5368711A (en) * | 1990-08-01 | 1994-11-29 | Poris; Jaime | Selective metal electrodeposition process and apparatus |
US5256274A (en) * | 1990-08-01 | 1993-10-26 | Jaime Poris | Selective metal electrodeposition process |
JPH04131395A (ja) * | 1990-09-21 | 1992-05-06 | Toshiba Corp | 半導体ウエハのメッキ方法及び装置 |
BE1005217A3 (fr) * | 1990-10-08 | 1993-06-01 | Four Industriel Belge | Procede et dispositif de degraissage et de decapage de fils ou rubans metalliques ou d'elements analogues. |
CA2059841A1 (en) * | 1991-01-24 | 1992-07-25 | Ichiro Hayashida | Surface treating solutions and cleaning method |
JP2697773B2 (ja) * | 1991-03-11 | 1998-01-14 | 日本エレクトロプレイテイング・エンジニヤース 株式会社 | メッキ方法 |
US5324684A (en) * | 1992-02-25 | 1994-06-28 | Ag Processing Technologies, Inc. | Gas phase doping of semiconductor material in a cold-wall radiantly heated reactor under reduced pressure |
JP3200468B2 (ja) * | 1992-05-21 | 2001-08-20 | 日本エレクトロプレイテイング・エンジニヤース株式会社 | ウエーハ用めっき装置 |
JP2654314B2 (ja) * | 1992-06-04 | 1997-09-17 | 東京応化工業株式会社 | 裏面洗浄装置 |
JPH0617291A (ja) * | 1992-07-03 | 1994-01-25 | Nec Corp | 金属めっき装置 |
US5328589A (en) * | 1992-12-23 | 1994-07-12 | Enthone-Omi, Inc. | Functional fluid additives for acid copper electroplating baths |
US5718813A (en) * | 1992-12-30 | 1998-02-17 | Advanced Energy Industries, Inc. | Enhanced reactive DC sputtering system |
US5608943A (en) * | 1993-08-23 | 1997-03-11 | Tokyo Electron Limited | Apparatus for removing process liquid |
US5415890A (en) * | 1994-01-03 | 1995-05-16 | Eaton Corporation | Modular apparatus and method for surface treatment of parts with liquid baths |
US5625170A (en) * | 1994-01-18 | 1997-04-29 | Nanometrics Incorporated | Precision weighing to monitor the thickness and uniformity of deposited or etched thin film |
US5651865A (en) * | 1994-06-17 | 1997-07-29 | Eni | Preferential sputtering of insulators from conductive targets |
US5705223A (en) * | 1994-07-26 | 1998-01-06 | International Business Machine Corp. | Method and apparatus for coating a semiconductor wafer |
US5807469A (en) * | 1995-09-27 | 1998-09-15 | Intel Corporation | Flexible continuous cathode contact circuit for electrolytic plating of C4, tab microbumps, and ultra large scale interconnects |
-
1999
- 1999-03-05 US US09/263,126 patent/US6136163A/en not_active Expired - Lifetime
-
2000
- 2000-02-22 TW TW089103100A patent/TW500835B/zh not_active IP Right Cessation
- 2000-02-23 EP EP00301415A patent/EP1037263B1/en not_active Expired - Lifetime
- 2000-02-23 DE DE60013009T patent/DE60013009T2/de not_active Expired - Lifetime
- 2000-02-25 SG SG200001050A patent/SG82070A1/en unknown
- 2000-03-03 JP JP2000107355A patent/JP3527169B2/ja not_active Expired - Fee Related
- 2000-03-03 KR KR10-2000-0010663A patent/KR100386714B1/ko not_active IP Right Cessation
Also Published As
Publication number | Publication date |
---|---|
SG82070A1 (en) | 2001-07-24 |
EP1037263A2 (en) | 2000-09-20 |
KR20000062731A (ko) | 2000-10-25 |
JP2001035813A (ja) | 2001-02-09 |
US6136163A (en) | 2000-10-24 |
TW500835B (en) | 2002-09-01 |
KR100386714B1 (ko) | 2003-06-09 |
DE60013009D1 (de) | 2004-09-23 |
EP1037263A3 (en) | 2001-01-24 |
EP1037263B1 (en) | 2004-08-18 |
DE60013009T2 (de) | 2005-09-01 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP3527169B2 (ja) | 熱アニーリング可能な銅の電気化学堆積装置 | |
US7497932B2 (en) | Electro-chemical deposition system | |
JP4766579B2 (ja) | 電気化学堆積装置 | |
US6254760B1 (en) | Electro-chemical deposition system and method | |
US6267853B1 (en) | Electro-chemical deposition system | |
US6582578B1 (en) | Method and associated apparatus for tilting a substrate upon entry for metal deposition | |
US6551488B1 (en) | Segmenting of processing system into wet and dry areas | |
US7427338B2 (en) | Flow diffuser to be used in electro-chemical plating system | |
US20030201184A1 (en) | Method and associated apparatus for tilting a substrate upon entry for metal deposition | |
US6837978B1 (en) | Deposition uniformity control for electroplating apparatus, and associated method | |
KR20010015228A (ko) | 전기도금 시스템 내의 원위치 무전해 구리 시드 층의 강화 | |
US6557237B1 (en) | Removable modular cell for electro-chemical plating and method | |
US6662673B1 (en) | Linear motion apparatus and associated method | |
US6571657B1 (en) | Multiple blade robot adjustment apparatus and associated method | |
US20040079633A1 (en) | Apparatus for electro chemical deposition of copper metallization with the capability of in-situ thermal annealing | |
US7114693B1 (en) | Stable cell platform | |
JPWO2003012845A1 (ja) | 半導体製造装置及び半導体製造方法 | |
WO2002031227A2 (en) | Deposition uniformity control for electroplating apparatus, and associated method |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20040119 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20040218 |
|
R150 | Certificate of patent or registration of utility model |
Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20090227 Year of fee payment: 5 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20090227 Year of fee payment: 5 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20100227 Year of fee payment: 6 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20110227 Year of fee payment: 7 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20110227 Year of fee payment: 7 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20120227 Year of fee payment: 8 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20120227 Year of fee payment: 8 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20130227 Year of fee payment: 9 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20130227 Year of fee payment: 9 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20140227 Year of fee payment: 10 |
|
LAPS | Cancellation because of no payment of annual fees |