TW455920B - Edge bead removal/spin rinse dry (EBR/SRD) module - Google Patents

Edge bead removal/spin rinse dry (EBR/SRD) module Download PDF

Info

Publication number
TW455920B
TW455920B TW089113447A TW89113447A TW455920B TW 455920 B TW455920 B TW 455920B TW 089113447 A TW089113447 A TW 089113447A TW 89113447 A TW89113447 A TW 89113447A TW 455920 B TW455920 B TW 455920B
Authority
TW
Taiwan
Prior art keywords
substrate
scope
item
patent application
wafer
Prior art date
Application number
TW089113447A
Other languages
English (en)
Inventor
Joe Stevens
Donald Olgado
Alexander S Ko
Yeuk-Fai Edwin Mok
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Application granted granted Critical
Publication of TW455920B publication Critical patent/TW455920B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • H01L21/6708Apparatus for fluid treatment for etching for wet etching using mainly spraying means, e.g. nozzles
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S134/00Cleaning and liquid contact with solids
    • Y10S134/902Semiconductor wafer

Description

455920 A7 B7 經濟部智慧財產局員工消f合作社印製 五、發明說明() 發明領域: 本發明係關於一種電化學沉積或電鍍設備4更特定 地,本發明係關於一種將沉積物從一基材的周邊部分去除 的設備。 發明背景: 次四分之一微米,多層金屬是下一世代超大型積體電 路(ULSI)的主要關鍵技術之一。此技術的核心之多層内連 線需要一深寬比被形成之内連線特徵(feature),包括接 點’介廣孔’接線及其它特徵’的平坦化。這些内連線之 可靠的形成對於ULSI的成功及對於提高在每一基材及晶 粒上的電路密度與品質之持續的努力是非常重要的。 當電路揞度提高時’介層孔,接點及其它特徵,以及 介於它們之間的介電物質的寬度會降低至小於25〇奈米, 而介電層的厚度則維持大致固定,其結果為該等特徵的高 寬比’即高度除以寬度,會提高》許多傳統的積處理,如 物理氣相沉積(PVD)及化學氣相沉積(CVD),在填充深寬比 大於4: 1’特別是大於1〇: 1,的結構時有困難。因此, 目前有許多的努力是有關於無氣隙,具有高的深寬比,即 特徵高度與特徵寬度的比為4: 1或更高者,之奈米大小的 特徵的形成。此外,當特徵寬度降低,裝置電流保待—定 或提高時,這會導致在特徵中之電流密度提高的結果^ 因為鋁的低電阻性’其對於二氧化矽(SiOj之絕佳的 黏著性,其易於形成圖案,及能夠以高純度的形式取得它 第2頁 本紙張尺度適用中g ®家標準(CNS)A4規格(210 X 297公釐) - ----I ---I i ^---- I I I I 1 I I I I I 《請先閱讀背面之注意事項再填寫本頁> A7 B7 92〇 五、發明說明() 的能力等關係,元素鋁(A1)及其合金是在半導體處理中被 用來形成接線及插塞之傳統金屬。然而,鋁比其它更易導 電的金屬,如銅,具有較高的電阻係數,及鋁亦會遭遇到 導致在導電體内氣隙的形成之電子遷移的問題。 與鋁比較起來,銅及其合金具有比鋁低的電阻係數及 明顯大很多之電子遷移抵抗性。這些特性對於支援於高層 級的集積度所遭遇的高電流密度及增加裝置速度而言是 很重要的。銅亦具有良好的導熱性且可在極高純度下被獲 得。因此,銅變成用來填充在半導體基材上之次四分之一 微米,高的深寬比内連線特徵之選用金屬。 雖然在半導體裝置製造上有選用銅的欲望,但將銅沉 積於非常高的深寬比特徵内,如4: 1或具有0.35微米(或更 小)寬的介層孔,之製造方法的選擇則相當有限。這些處 理上的限制的結果為,先前被限制在電路板上的接線的製 造上之電鍍最近剛被用來填充在半導體裝置上的介廣孔 及接點。 金屬電鍍是習知的且可藉由許多種技術來達成。__典 型的方法通常包含物理氣相沉積一阻障層於特徵表面 上’物理氣相沉積一導電金屬晶種層,最好是鋼,於該阻 障層之上’然後電鍍一導電金屬於該晶種層上用以填充結 構/特徵。最後,該等被沉積的層及介電層被平坦化,例如 藉由化學機械研磨(CMP),來完成一導電内連線特徵。 第1圖為一具有接觸銷之簡化的典型噴水電鍵裝置1〇 的剖面圖》通常,噴水電鍍裝置10包括一電解液容器12其
第3T 本紙張尺度適用中國國家標準(CNS)A4規格(2W * 297公爱〉 ------------- ^ - ------ - 訂---·線 (請先閱讀背面之沒意事項再填寫本頁) 經濟部智慧財產局員工消费合作社印製 經濟部智慧財產局員工消f合作社印製 A7 B7 五、發明說明() 具有一上開口,一基材固持件14其設置於該電解液容器12 之上,及一設置在該電解液容器12的底部之陽極丨6及一與 該基材22接觸之接觸環20 ^多個溝槽24被形成於該基材固 持件14的下表面上。一真空紫浦(未示出)連接至該基材固 持件14並於該等溝槽24連通用以產生一能夠在處理期間 將基材22固定於該基材固持件14上的真空狀態.該接觸環 20包含多個金屬或半金屬接觸銷26其分佈在該基材22的 圓周部分的周圍用以界定一中央的基材電鍍表面。該等接 觸銷26徑向朝内地延伸跨越基材22的一窄的圓周部分並 在接觸銷26的尖端與基材22的一導電晶種層接觸。一電源 供應器(未示出)被接至該等銷26上藉以提供一電偏壓至該 基材22。基材22被置於該圚筒形的電解液容器12之上且該 電解液於該電鍍裝置10的搡作期間垂直地衝揸該基材電 鍵表面。 在目前的電鍍處理中所遭遇到的一個特定的問題為 該晶種層的邊緣在電鍍處理期間會接受到過量的沉積’典 型地被稱為邊緣珠滴。第2圖為一晶圓3 0的邊緣的一剖面 圖,其顯示該晶種層34的邊缘32上之過量的沉積36 »如第 2圖中所示的,該晶圓30具·有一被沉積於其上的晶種層32 及一被電化學地沉積於該晶種層34上之一電鍍層38。已被 觀察到的是,該晶種層34的邊緣32接受到的電流密度比晶 種層34其它部分所接受到的要來得高’结果為該晶種層34 的邊緣32的沉積速率較高。在晶種層34的邊緣32處之機械 應力亦高於晶種層之其它部分’造成該晶種廣的邊緣上之 第4贯 本纸張尺度適用中國國家標準(CNS>A4規格(210 X 297公爱) -n I ff n n I ϋ ^ . I i^i I i n 1^1 · I l> n n n n f t f請先閱讚背面之汉f項再填寫本頁> A7 B7 五、發明說明() 沉積向上拉離該晶圓30的邊緣。該過量的沉積36典型地是 以CMP處理來去除β然而,在CMP處理期間,在晶圓邊緣 上之該過量的沉積36典整地會從該晶種層的邊緣撕離且 會傷及該晶園之相粼的部分a該斷裂的金屬亦會傷及形成 於該晶園上的裝置。因此,被適當地形成的裝置數量會被 減少且每一被形成的裝置的成本即會提高。 因此,對於可將晶圓邊緣上之過量的沉積去除掉的設 備存在著需求。最好是,該設備去除掉該晶圊的邊緣處之 過量的沉積同時不會傷及形成於該晶圓表面上之裝置51對 該設備的進一步需求為該設備可被設計來在該過量的沉 積已從該晶圓上被去除掉之後實施一晶圓清潔處理,如一 旋轉-沖洗-乾燥處理。 發明目的及概述: 本發明大體上提供一種用來去除在一晶圊的邊緣上 之沉積的設備及方法。 本發明的一個態樣提供一種蝕刻一基材的設備,其包 含:_容器;一設置於該容器内之基材支撐器;一固定於 該基材支撐器上之旋轉作動件;及一流體輸送組件其被設 置於該容器内用來輸送一蝕刻劑至一設置於該基材支撐 器上的基材的周邊部分。最好是,該基材支撐器包含一真 空夾盤及該流體輸送組件包含一或多個喷嘴。 本發明的另一個態樣提供一種姓刻一基材的方法,其 包含:將置於一可轉動的基材支撐器上的基材轉動;及輸 第s頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 * 297公釐) ' <請先《讀背面之注意事項再填寫本頁) ^ ------- 訂--— — — — — — 經濟邨智慧財產局員工消费合作杜印製 45592ο Α7 Β7 五、發明說明() (諝先閱讀背面之注意事項再填寫本Ϊ ) 送一蝕刻劑至該基材的一周邊部分β最好是,該基材是以 lOOrpm至lOOOrpm間的轉速被轉動’且該蝕刻劑是在一大 致與該基材的周邊成切線的方向上被輸送且其入射角約 與該基材的一表面夾〇度至45度。 本發明的另一態樣提供一種用來去檢在該晶圓的邊 緣上之故量沉積的設備’其被設計來在該過量的沉積已從 該晶圓上被去除掉之後實施一晶圓清潔處理,如一旋轉_ 沖洗-乾燥處理。該設備包含:一容器;一設置於該容器 内之基材支撐器:一固定於該基材支撐器上之旋轉作動 件;及一流體輸送組件其被設置於該容器内用來輸送一姓 刻劑至一設置於該基材支轉器上的基材的周邊部分及輸 送一沖洗流體,如去離子水,至該基材的表面。 牖式簡蕈說明:_ 本發明之一更為特定的描述可藉由參照顯示於附圏 中之實施例而被作成’使得本發明之上述特徵,優點及目 地可被詳地地瞭解。 經濟部智慧財產局貝工消費合作社印製 然而’應注意的是,附圖中所示者為本發明之典型的 實施例,因此不應被認為是本發明範園的限制,因為本發 明可以有其它等效的實施例。 萁—具有接觸销之簡化的表刑 吊i ®馮 π π 丹型噴水電鍍裝置1 〇的剖 面圖。 第2圖為一晶園30的邊緣的一剖面圖,其顯示該晶種層34 的邊緣3 2上之過量的沉積3 6。 笫6耳 本紙張尺度適用令國國家標準(CNS)A4規格(210 X 297公釐) 經濟部智慧財產局員工消费合作社印製 A7 B7 五、發明說明() 第3圖為本發明之一邊緣珠滴去除(EBr)模組的側剖面 圖’其顯示一被置於處理位置上的基材用以將該基 材的邊緣上之過量沉積去除。 第4圖為一 EBR模組的上視圖,其顯示用來將邊緣珠滴去除 之噴嘴的一實施例。 第5圖為一噴最150的侧視圖,其被設置成與一將被處理的 晶圓122相關。 第6圖為一邊緣珠滴去除/旋轉,沖洗-乾燥(Ebr/Srd)結合 模组的剖面酮,其顯示在一被垂直地設置於流體入 口之間之一處理位置上的基材。 圖號#照說明: 10 噴水電鍍裝置 12 電解液容器 1 4 基材固持件 16 陽極 20 接觸環 22 基材 24 溝槽 26 接觸銷 30 晶圓 32 邊緣 34 晶種層 36 過量沉積 38 電鍍層 100 EBR模組 102 容器 104 晶圓固持器奴件 106 流體/化學物輸送组件 108 側壁 1 10 容器底部 I 12 中心孔 1 14 上翻的内壁 1 16 流體出口 118 舉升組件 120 轉動組件 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公爱) D ^1 ^1 ϋ i> * I ϋ «I n ^1.^-6,< * I I n n I ·1 n 1 t (請先閱讀背面之沒意事項再填寫本頁) 48: .· A7 發明說明() 122 晶圓 124 真空央盤 126 晶圓邊緣 128 環形密封件 130 晶圓舉升件 134 臂 136 環形基底 138 物蛛夬 140 上表面 142 夾持部 144 下部 150 喷嘴 1 52 分配臂 154 作動器 156 撓性管 160 去離子水源 162 蝕刻劑源 164 電腦控制器 200 EBR/SDR 模組 170 喷嘴 172 去離子水嘴嘴 174 作動件 176 臂 發明詳細說明: 第3圈為本發明之_ -邊緣珠滴去除(EBR)模組的側剖 面圖 ’其顯示一被置於處理位置上的基材用以將該基材的 邊緣上之過量沉積去除。 該E B R模組1〇〇可以是一單獨的單 元或 被設置成一電化學 沉積系統 或其它沉積系統的一個 構件 。該EBR模組1〇〇包含一容器1〇2,一晶圓固持器組件 104及一流體/化學物輸送组件丨〇6。該容器1〇2最好是包含 一圖筒形側壁108,一具有一中心孔U2之容器底部u〇, 及一向上翻的内壁其由該中心孔U2的周逢向上延 伸a —流體出口 116被連接至該容器底部110以促進使用過 的流體及化學物由該EBR模組110中排出。 第8頁 本紙張尺度適用中國理家標準(CNS)A4規格<210 X 297公釐) (諳先閱讀背面之注s事項再填寫本頁) n 1 n ^aJt ii ϋ u n I I. 經濟部智慧財產局具工消费合作社印製 4559^0 A7 B7 經濟部智慧財產局貝工消費合作社印製 五、發明說明() 該晶圓固持器组件104被設置於該中心孔112之上並 包括一舉升組件118及一轉動組件120其延伸通過該中心 孔112。該舉升組件118最好是包含風箱軟管式舉升组件或 一導螺桿步進馬達式舉升組件,這兩種在此技藝中為習知 且可商業上獲得。該舉升組件1 1 8協助將該晶圓1 22在該晶 圓固持器組件104上之輸送及定位於不同的垂直位置之 間。該轉動組件120最好是包含一旋轉馬達其被固定於該 舉升组件底下。該轉動組件丨2 0在該邊緣珠滴去除處理期 間轉動該晶囲1 22 » 該晶圓固持器組件104最好是包含一真空夾盤124其 由該晶圓背側來固定一晶圓1 22且不會妨礙到該晶圓邊緣 126。最好是,一環形密封件128,如一可壓擠的Ο形環, 被置於該真空夾盤表面的一周邊部分處用在在該邊緣珠 滴去除處理期間將該真空夾盤124密封起來與所使用的流 體及化學物隔離。該晶圓固持器組件1 04最好包括一晶圓 舉升件130其協助將一晶圓從一輸送機械臂的機械臂載盤 輸送至該晶圓固持器組件1 04上。該晶®舉升件1 30,如第 3圖所示,包含一蜘蛛夾組件其亦可被用來在一旋轉-沖洗 -乾燥處理期間固定該晶圓。該蜘蛛夹组件包含多個臂從 一環形基底136延伸出及一蜘蛛夾138可樞轉地設置於該 臂134的遠段上。該環形基底136包括一向下延伸壁137其 與該上翻的内壁114重疊用以容納在處理該容器102内側 時所使用的流體。該蜘蛛夾138包括一上表面140用來承接 —晶圓,一夾持部142用來夹住該晶圃,及一下部丨44,當 *9頁 本紙張尺度適角中围a家標攀(CNS)A4規格(210 X 297公釐) ----------- ^ — — — — — — ^----1 — II I, Λ請先閱讀背面之注意事項再填寫本頁) Α7 Β7 五、發明說明() 該晶圖固持組件被轉動時其因離心力的關係而促成該夹 持部142與該晶圓的邊緣接觸。或者,該晶圓舉升件13〇包 含一被使用在許多晶圓處理設備中之晶圓舉升件,如一组 舉井銷或一設置於一皋升平台上的舉升圈或在該真空夾 盤本體内或周圍的舉升環。 該流體/化學物輸送組件i〇6包含—或多個嘴嘴150其 被設置在一或多個分配臂152上。該分配臂152延伸穿過該 容器側壁108且被固定於一作動件154上,其伸展及收縮以 改變該喷嘴150在該基材122上的位置。藉由具有_可伸縮 的分配臂152,該喷嘴可被置於該晶圓上用以將該喷嘴由 該晶圓的内部指向該晶圓的邊緣’其可加強對於流體/蝕刻 劑輸送至該晶囷邊緣的控制β或者,該分配臂152被不動 地固定於該容器壁108上,且噴嘴150被固定於該分配臂的 一位置上而不會干擾到該容器102内之垂直的晶圓移動。 最好是,該分配臂152包括一或多個導管鄞伸穿過該 分配臂用以將噴嘴1 5 0連接至一蝕刻劑源。有許多種用來 從一基材上去除掉沉積金屬的蝕刻劑在此技藝中是習知 的,如硝酸及其它市面上可獲得的酸。或者該喷嘴150經 由一設置在該分配臂152的導管内之撓性管156而被連 接。最好是,喷嘴150是以成對的方式被設置在晶圓的上 及下的位置以分別輸送流體/化學物至該晶囷的上邊緣表 面及下邊緣表面。喷嘴150可選擇性地連接一或多個流體/ 化學物源,如一去離子水源I 60及一蝕刻劑源1 62,及一電 腦控制器1 64根據一所需要的程式而切換一或多個流體’蚀 第10貰 本紙張尺度適用令國國家標準(CNS)A4規格(210 X 297公藿) (請先閱讀背面之注意事項再填寫本頁) - — lull — !11111 I - 經濟部智慧財產局員工消费合作社印製 45592〇 Α7 Β7 經濟部智慧財產局貝工消费合作社印製 五、發明說明() 刻劑源之間的的連接。或者’ 一第一组喷嘴被連接至該去 離予水源及一第二组喷嘴被連接至該姓刻劑源’及該等噴 嘴被選擇性地啟動以提供流體至該晶圓。 最好是,噴嘴150是以—角度被設置用以在一大致切 線的方向上提供流體接近該晶圓的周邊部分。第4圖為一 EBR模组的上視圖,其顯示用來將邊緣珠滴去除之喷嘴的 一實施例。如圖所示,三個喷嘴150被大致等間距地繞著 該容器内壁108的一内表面被設置。每一噴嘴150被設置來 提供流體至該晶圓的一邊緣部分且被定位以提供足夠的 空間讓晶囫垂直移動於一處理位置與—輸送位置之間。最 好是,該流體輸送或喷灑模式是由喷嘴的形狀及流體壓力 來控制用以限制流體輸送至一選定的邊緣排除範圍。例 如,該蝕刻劑是被限制在該晶圓的外3 mm環形部分上以達 到3mm邊緣排除。該等喷嘴被定位用以以一入射角度提供 蝕刻劑至晶圓表面,其在該蝕刻劑與該晶園接觸時控制蝕 刻劑的濺灑。第5圖為一喷最1 5 0的側視圖,其被設置成與 一將被處理的晶圓1 22相關。最好是,該蝕刻劑對該晶圓 的入射角α是介於0度至45度之間,更佳的是介於10度至 3 0度之間。 該晶圓1 22於邊緣珠滴去除處理期間被旋轉用以讓該 晶圓的周邊部分都能大致相等地曝露於蝕刻劑中。最好 是,該晶囷122最好是被轉動於與蝕刻劑喷灑方向同向的 方向上以利於邊緣珠滴去除的控制。例如,如第4圖所示, 該晶圆被轉動於逆時鐘方向(箭頭Α)其對應於逆時鐘的喷 第11頁 .I — — — — —--— — — —、^, 1Ι1ΙΙ1 訂 -- - ---I - t (請先閱讀背面之注幸?事項再填寫本頁) 本紙張尺度適用中國國家楳準(CNS)A4現格(210 X 297公笼> A7 B7 55^2〇 五、發明說明() 灑-該晶圊最好是以l〇〇rpm至lOOOrpm間的轉速,更佳的 是5 00rpm至700rpm之間的轉速,被旋轉。該有效的蝕刻率 (即,被去除的铜的數量除上去除所花的時間)為該蝕刻劑 的蝕刻率,接觸該晶圓標緣之蝕刻劑的速度’蝕刻劑的溫 度,及該晶圓的旋轉速度的函數。這些參數可被改變以達 到所需要的特定結果。 經濟部智慧財產局貝Η消费合作社印髮 在操作時,一晶圓122被提供於該EBR模組100的晶圓 固持器組件104上,且該晶圃舉升件130將該晶圓舉離一輸 送機械臂載盤3該機械臂載盤收回及該晶圓舉升件130將 晶圓降低至該真空夾盤124上。該真空系統被啟動用以將 晶囷固定於其上,且該晶圓固持器組件1 04與其上的晶® 於噴嘴150輸送蝕刻劑至該晶圓122的周邊部分時被旋 轉。該蝕刻處理被進行一段足以將晶圃邊緣上的過量沉積 (即’邊緣珠滴)去除掉的時間。該晶圓最好是在一旋轉-沖洗-乾燦處理中用去離子水加以清洗。該旋轉-沖洗-乾燥 處理典型地包括輸送去離子水至該晶圓上用以將殘餘的 蝕刻劑從該晶圓上沖洗掉並在一高速下旋轉該晶圓以乾 燥該晶圓•在該邊緣珠滴去除處理及該旋轉-沖洗-乾燥處 理完成之後該晶困被送出該EBR模組1〇〇,且該晶圓已準備 好作其它的處理’如熱退火處理及其它晶圓處理。 第6囷為一邊緣珠滴去除/旋轉-沖洗·乾燥(ebr/SRD) 結合模紐的剖面圖’其顯示在一被垂直地設置於流體入口 之間之一處理位置上的基材。本發明的此實施例對於邊緣 珠滴去除(EBR)處理及旋轉-沖洗-乾燥(SRD)處理兩者都 第12肓 本紙張尺度綱中國國家標準(CNs>A4規格(21(^297公釐) A7 45^92〇 ____B7 _ 五、發明說明() 是有用的。該[811/3110模组2〇〇的構件與上述之£1^8模组的 構件是相類似的’且相同的構件是以相同的標號來表示。 除了 EBR模組100的構件之外,該ebr/SRD模組200包含了 一额外的下喷嘴組170其設置於該晶圓的底下,最好是與 相對應之噴嘴組150垂直地對齊。該等下噴嘴17〇被選擇性 地連接至去離子水源1 60及蝕刻劑源丨62,且被該等喷嘴 170所輸送之流體是被該控制器164所控制。最好是,該等 喷嘴170被設置成可將流體輸送至該基材背側的周邊部 分。該等下喷嘴170最好是被設置在不會干擾的晶圓舉升 件130的運動的位置處。該等下噴嘴17〇亦可經由一臂176 而被固定於一作動器174上’其會伸展及收缩以將嘴嘴17〇 置於所想要的位置處。或者’該舉升件130沒有在處理期 間被旋轉用以防止干擾到 雖然以上所述係關於本發明的較佳實施例,但本發明 的其它及進一步的實施例可在不偏離本發明之基本範圍 下被達成,該範圍是有以下的申請專利範圍來界定。 (5JF先wtl背面之沒意事項再填寫本頁)
,衣---I 訂---------線. 經濟部智慧財產局貝工消费合作社印製 T 3 本紙張尺度適用令國國家標準(CNS)A4規格(210 X 297公爱)

Claims (1)

  1. 六、申請專利範圍 A8 B8 C8 D8 1 . 一種蝕刻一基材的設備,’、至少包含. a) —容器j b) —設置於該容器内之基材支撐器, c) 一固定於該基讨支搏器上之旋轉作動件;及 d) U輸送組件,其被設置於該容器内用來輸送 一#_至一設置於該基材支撲器上的基#的周邊部 分" 2.如申請專利範圍第1項所述之設備’其中該基材支撐器包 含一真空夾盤》 3 ·如申請專利範圍第1項所述之設備,其中該流體輸送組件 包含一或多個啧嘴。 — — Inf— — — — — — — ! ^ I I (請先閲讀背面之注意事項再填窝本頁) 訂 經濟部智慧財產局員工消费合作社印裳 4 ·如申請專利範圍第1項所述之設備,其中該流體輸送組件 包含一或多個被垂直對齊的喷嘴组對。5. 如申諳專利範圍第1項所述之設備,其中該流體輸送组件 被選擇性地連接至一蝕刻劑源與一去離予水源^6. 如申請專利範圍第i項所述之設備,其中該流雜輸送組件包含一第一組噴嘴其可連接至-蚀刻劑源及一第二组 •喷嘴其可連接至一去離子水源。 本紙張尺度適用t國國家標準(CNS)A4規格(21〇x297公釐) 線 ο AS Β8 CS D8 六、申請專利範圍 7. 如中請專利範圍第1項所述之設備’其更包含: e)—去離干水嘖嘴’其被汉置於該容器内用以將去 離子水送至該基材的一中心部分。 8. 如申請專利範圍第1項所述之設備,其更包含: e)—基材舉升組件’其被設置於該容器内。 9. 如申請專利範圍第8項所述之設備’其中該基材舉升組件 包含多個從一舉升平台径向延伸的臂。 10·如申請專利範圍第8項所述之設備’其中該基材舉升組 件包含多個從一舉升乎台徑向延伸的臂及多個被設置 在該等臂的遠端上的夾子* —種蝕刻一基材的方法,其至少包含: a) 將置於一可轉動的基材支撐器上的基材轉動;及 b) 輸送一蝕刻劑至該基材的一周邊部分* 12‘如申請專利範圍第U項所述之方法,其中該基材是以約 1 OOrpm至lOOOrpm間的轉速被旋轉。 1 3 ·如申請專利範圍第1 1項所述之方法,其中該蝕刻劑是被 輸送於一大致與該基材的周邊部分成切線的方向上。 第15頁 - — — 1 —Ini — 11 i .i •ill· — — — β -------— (請先閱讀背面之注意事項再填寫本頁} 經濟部智慧財產局員工消費合作钍印製 本紙稱疋廢;糸田 .λ— 1\ 〒 IT T s Μ 公 y X u —A ώ A8 B8 C8 D8 45592〇 六、申請專利範圍 1 4 ·如申請專利範圍第1 1項所述之方法,其中該蝕刻劑是以 一與該基材约一表面夹0度至45度角的入射角被輸送。 1 5.如申請專利範圍第1 1項所述之方法,其中該蝕刻劑是被 送至該基材的前侧及後側。 16.如申請專利範圍第11項所述之方法,其更包含: c) 在蝕刻之後輸送一沖洗劑至該基材。 1 7.如申請專利範圍第1 6項所述之方法,其更包含: d) 旋轉乾燥該基材。 18.如申請專利範圍第U項所述之方法,其更包含: c)輸送去離子水至該基材的一中心部分》 -------------^--I--r---訂.--------*5^· (諳先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 第16頁 本紙張尺度適用中國國家標準(CNS)A4規格(2W X 297公釐)
TW089113447A 1999-07-09 2000-07-06 Edge bead removal/spin rinse dry (EBR/SRD) module TW455920B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/350,212 US6516815B1 (en) 1999-07-09 1999-07-09 Edge bead removal/spin rinse dry (EBR/SRD) module

Publications (1)

Publication Number Publication Date
TW455920B true TW455920B (en) 2001-09-21

Family

ID=23375706

Family Applications (1)

Application Number Title Priority Date Filing Date
TW089113447A TW455920B (en) 1999-07-09 2000-07-06 Edge bead removal/spin rinse dry (EBR/SRD) module

Country Status (6)

Country Link
US (1) US6516815B1 (zh)
EP (1) EP1067591A3 (zh)
JP (1) JP4603136B2 (zh)
KR (1) KR100717445B1 (zh)
SG (1) SG80684A1 (zh)
TW (1) TW455920B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9815092B2 (en) 2013-07-04 2017-11-14 Kaijo Corporation Ultrasonic cleaning apparatus

Families Citing this family (221)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3395696B2 (ja) * 1999-03-15 2003-04-14 日本電気株式会社 ウェハ処理装置およびウェハ処理方法
US7780867B1 (en) * 1999-10-01 2010-08-24 Novellus Systems, Inc. Edge bevel removal of copper from silicon wafers
KR100436361B1 (ko) * 2000-12-15 2004-06-18 (주)케이.씨.텍 기판 가장자리를 세정하기 위한 장치
JP4743735B2 (ja) * 2001-05-30 2011-08-10 大日本スクリーン製造株式会社 基板処理装置および基板処理方法
JP2002353181A (ja) * 2001-05-30 2002-12-06 Ses Co Ltd 枚葉式基板洗浄方法および枚葉式基板洗浄装置
JP2003006948A (ja) * 2001-06-15 2003-01-10 Fuji Photo Film Co Ltd 光情報記録媒体の製造方法
JP3944368B2 (ja) * 2001-09-05 2007-07-11 株式会社荏原製作所 基板処理装置及び基板処理方法
US6786996B2 (en) 2001-10-16 2004-09-07 Applied Materials Inc. Apparatus and method for edge bead removal
US6708701B2 (en) * 2001-10-16 2004-03-23 Applied Materials Inc. Capillary ring
US7138014B2 (en) * 2002-01-28 2006-11-21 Applied Materials, Inc. Electroless deposition apparatus
US20030190426A1 (en) * 2002-04-03 2003-10-09 Deenesh Padhi Electroless deposition method
US6905622B2 (en) * 2002-04-03 2005-06-14 Applied Materials, Inc. Electroless deposition method
US6899816B2 (en) * 2002-04-03 2005-05-31 Applied Materials, Inc. Electroless deposition method
US20030207206A1 (en) * 2002-04-22 2003-11-06 General Electric Company Limited play data storage media and method for limiting access to data thereon
JP4017463B2 (ja) * 2002-07-11 2007-12-05 株式会社荏原製作所 洗浄方法
KR100518765B1 (ko) * 2002-08-01 2005-10-05 주식회사 에이알티 웨이퍼 식각 장치
US6821909B2 (en) * 2002-10-30 2004-11-23 Applied Materials, Inc. Post rinse to improve selective deposition of electroless cobalt on copper for ULSI application
US20070232072A1 (en) * 2003-04-18 2007-10-04 Bo Zheng Formation of protection layer on wafer to prevent stain formation
US7827930B2 (en) * 2004-01-26 2010-11-09 Applied Materials, Inc. Apparatus for electroless deposition of metals onto semiconductor substrates
US7654221B2 (en) * 2003-10-06 2010-02-02 Applied Materials, Inc. Apparatus for electroless deposition of metals onto semiconductor substrates
US7064065B2 (en) * 2003-10-15 2006-06-20 Applied Materials, Inc. Silver under-layers for electroless cobalt alloys
US20070111519A1 (en) * 2003-10-15 2007-05-17 Applied Materials, Inc. Integrated electroless deposition system
US7465358B2 (en) * 2003-10-15 2008-12-16 Applied Materials, Inc. Measurement techniques for controlling aspects of a electroless deposition process
TW200530427A (en) * 2003-10-17 2005-09-16 Applied Materials Inc Selective self-initiating electroless capping of copper with cobalt-containing alloys
US20050095830A1 (en) * 2003-10-17 2005-05-05 Applied Materials, Inc. Selective self-initiating electroless capping of copper with cobalt-containing alloys
US7476290B2 (en) * 2003-10-30 2009-01-13 Ebara Corporation Substrate processing apparatus and substrate processing method
US7205233B2 (en) * 2003-11-07 2007-04-17 Applied Materials, Inc. Method for forming CoWRe alloys by electroless deposition
US20060003570A1 (en) * 2003-12-02 2006-01-05 Arulkumar Shanmugasundram Method and apparatus for electroless capping with vapor drying
US20050161338A1 (en) * 2004-01-26 2005-07-28 Applied Materials, Inc. Electroless cobalt alloy deposition process
US20050170650A1 (en) * 2004-01-26 2005-08-04 Hongbin Fang Electroless palladium nitrate activation prior to cobalt-alloy deposition
US20050181226A1 (en) * 2004-01-26 2005-08-18 Applied Materials, Inc. Method and apparatus for selectively changing thin film composition during electroless deposition in a single chamber
US20060033678A1 (en) * 2004-01-26 2006-02-16 Applied Materials, Inc. Integrated electroless deposition system
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7371312B2 (en) * 2004-03-31 2008-05-13 Intel Corporation Using cell voltage as a monitor for deposition coverage
US20050253268A1 (en) * 2004-04-22 2005-11-17 Shao-Ta Hsu Method and structure for improving adhesion between intermetal dielectric layer and cap layer
KR100568873B1 (ko) * 2004-11-30 2006-04-10 삼성전자주식회사 웨이퍼의 에지 비드 스트립용 노즐장치
US20060240187A1 (en) * 2005-01-27 2006-10-26 Applied Materials, Inc. Deposition of an intermediate catalytic layer on a barrier layer for copper metallization
US20060246699A1 (en) * 2005-03-18 2006-11-02 Weidman Timothy W Process for electroless copper deposition on a ruthenium seed
US7651934B2 (en) 2005-03-18 2010-01-26 Applied Materials, Inc. Process for electroless copper deposition
US7514353B2 (en) * 2005-03-18 2009-04-07 Applied Materials, Inc. Contact metallization scheme using a barrier layer over a silicide layer
TW200734482A (en) * 2005-03-18 2007-09-16 Applied Materials Inc Electroless deposition process on a contact containing silicon or silicide
US20060211237A1 (en) 2005-03-21 2006-09-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for planarizing gap-filling material
JP4237184B2 (ja) * 2005-03-31 2009-03-11 エルピーダメモリ株式会社 半導体装置の製造方法
US7691559B2 (en) * 2005-06-30 2010-04-06 Taiwan Semiconductor Manufacturing Company, Ltd. Immersion lithography edge bead removal
WO2007035880A2 (en) * 2005-09-21 2007-03-29 Applied Materials, Inc. Method and apparatus for forming device features in an integrated electroless deposition system
US20070099806A1 (en) * 2005-10-28 2007-05-03 Stewart Michael P Composition and method for selectively removing native oxide from silicon-containing surfaces
US20070099422A1 (en) * 2005-10-28 2007-05-03 Kapila Wijekoon Process for electroless copper deposition
US20070209684A1 (en) * 2006-03-07 2007-09-13 Applied Materials, Inc. Copper deposition chamber having integrated bevel clean with edge bevel removal detection
JP2009530865A (ja) * 2006-03-24 2009-08-27 アプライド マテリアルズ インコーポレイテッド 基板の洗浄方法及び装置
US8100081B1 (en) 2006-06-30 2012-01-24 Novellus Systems, Inc. Edge removal of films using externally generated plasma species
KR100829923B1 (ko) * 2006-08-30 2008-05-16 세메스 주식회사 스핀헤드 및 이를 이용하는 기판처리방법
US8580078B2 (en) 2007-01-26 2013-11-12 Lam Research Corporation Bevel etcher with vacuum chuck
US9732416B1 (en) 2007-04-18 2017-08-15 Novellus Systems, Inc. Wafer chuck with aerodynamic design for turbulence reduction
US8174800B2 (en) * 2007-05-07 2012-05-08 Canon Anelva Corporation Magnetoresistive element, method of manufacturing the same, and magnetic multilayered film manufacturing apparatus
JP4593601B2 (ja) * 2007-08-03 2010-12-08 キヤノンアネルバ株式会社 汚染物質除去方法、半導体製造方法、及び薄膜形成加工装置
JP4819010B2 (ja) * 2007-09-04 2011-11-16 東京エレクトロン株式会社 処理装置、処理方法および記憶媒体
US7867900B2 (en) 2007-09-28 2011-01-11 Applied Materials, Inc. Aluminum contact integration on cobalt silicide junction
US8419964B2 (en) * 2008-08-27 2013-04-16 Novellus Systems, Inc. Apparatus and method for edge bevel removal of copper from silicon wafers
US8172646B2 (en) * 2009-02-27 2012-05-08 Novellus Systems, Inc. Magnetically actuated chuck for edge bevel removal
EP2480146A1 (en) 2009-09-21 2012-08-01 Novo Nordisk A/S A method for chemical etching of a needle cannula
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
DE102010017751A1 (de) * 2010-07-06 2012-01-12 Infineon Technologies Bipolar Gmbh & Co. Kg Verfahren und Vorrichtung zur Herstellung einer Randstruktur eines Halbleiterbauelements
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9421617B2 (en) 2011-06-22 2016-08-23 Tel Nexx, Inc. Substrate holder
US8613474B2 (en) 2011-07-06 2013-12-24 Tel Nexx, Inc. Substrate loader and unloader having a Bernoulli support
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
JP5891085B2 (ja) * 2012-03-27 2016-03-22 株式会社Screenホールディングス 基板処理装置および基板処理方法
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
JP6064875B2 (ja) * 2013-11-25 2017-01-25 東京エレクトロン株式会社 液処理装置、液処理方法及び記憶媒体
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
JP7024307B2 (ja) * 2017-01-26 2022-02-24 東京エレクトロン株式会社 塗布膜除去装置、塗布膜除去方法及び記憶媒体
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10875149B2 (en) * 2017-03-30 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for timed dispensing various slurry components
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
JP7364322B2 (ja) * 2018-02-23 2023-10-18 株式会社荏原製作所 基板洗浄装置および基板洗浄方法
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
EP3594748B1 (en) 2018-07-09 2021-04-14 C&D Semiconductor Services. Inc Optimal exposure of a bottom surface of a substrate material and/or edges thereof for cleaning in a spin coating device
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP7309485B2 (ja) * 2019-07-04 2023-07-18 東京エレクトロン株式会社 エッチング装置およびエッチング方法
DE102021116206B3 (de) 2021-06-23 2022-09-29 Infineon Technologies Bipolar Gmbh & Co. Kg Verfahren und Vorrichtung zur Herstellung einer Randstruktur eines Halbleiterbauelements
KR102573825B1 (ko) 2023-05-15 2023-09-04 주식회사 기술공작소바다 이비알 장치

Family Cites Families (106)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3727620A (en) 1970-03-18 1973-04-17 Fluoroware Of California Inc Rinsing and drying device
US3772105A (en) 1970-07-24 1973-11-13 Shipley Co Continuous etching process
US3770598A (en) 1972-01-21 1973-11-06 Oxy Metal Finishing Corp Electrodeposition of copper from acid baths
US4027686A (en) 1973-01-02 1977-06-07 Texas Instruments Incorporated Method and apparatus for cleaning the surface of a semiconductor slice with a liquid spray of de-ionized water
SE444822B (sv) 1975-03-11 1986-05-12 Oxy Metal Industries Corp Bad och medel for elektrolytisk utfellning av koppar
US3953265A (en) * 1975-04-28 1976-04-27 International Business Machines Corporation Meniscus-contained method of handling fluids in the manufacture of semiconductor wafers
US3990462A (en) * 1975-05-19 1976-11-09 Fluoroware Systems Corporation Substrate stripping and cleaning apparatus
JPS5271871A (en) 1975-12-11 1977-06-15 Nec Corp Washing apparatus
JPS5819350B2 (ja) 1976-04-08 1983-04-18 富士写真フイルム株式会社 スピンコ−テイング方法
US4326940A (en) 1979-05-21 1982-04-27 Rohco Incorporated Automatic analyzer and control system for electroplating baths
US4315059A (en) 1980-07-18 1982-02-09 The United States Of America As Represented By The United States Department Of Energy Molten salt lithium cells
US4405416A (en) 1980-07-18 1983-09-20 Raistrick Ian D Molten salt lithium cells
US4336114A (en) 1981-03-26 1982-06-22 Hooker Chemicals & Plastics Corp. Electrodeposition of bright copper
US4376685A (en) 1981-06-24 1983-03-15 M&T Chemicals Inc. Acid copper electroplating baths containing brightening and leveling additives
DE3272891D1 (en) 1981-10-01 1986-10-02 Emi Ltd Electroplating arrangements
US4429983A (en) * 1982-03-22 1984-02-07 International Business Machines Corporation Developing apparatus for exposed photoresist coated wafers
US4439244A (en) 1982-08-03 1984-03-27 Texas Instruments Incorporated Apparatus and method of material removal having a fluid filled slot
US4838289A (en) * 1982-08-03 1989-06-13 Texas Instruments Incorporated Apparatus and method for edge cleaning
US4439243A (en) * 1982-08-03 1984-03-27 Texas Instruments Incorporated Apparatus and method of material removal with fluid flow within a slot
US4489740A (en) 1982-12-27 1984-12-25 General Signal Corporation Disc cleaning machine
US4510176A (en) * 1983-09-26 1985-04-09 At&T Bell Laboratories Removal of coating from periphery of a semiconductor wafer
US4518678A (en) 1983-12-16 1985-05-21 Advanced Micro Devices, Inc. Selective removal of coating material on a coated substrate
JPS60210840A (ja) * 1984-03-06 1985-10-23 Fujitsu Ltd スピン処理装置
US4519846A (en) 1984-03-08 1985-05-28 Seiichiro Aigo Process for washing and drying a semiconductor element
JPS61279858A (ja) * 1985-06-05 1986-12-10 Mitsubishi Electric Corp ネガレジスト現像装置
US4693805A (en) 1986-02-14 1987-09-15 Boe Limited Method and apparatus for sputtering a dielectric target or for reactive sputtering
JPS62287625A (ja) * 1986-06-06 1987-12-14 Hitachi Ltd スピン式エッチング装置
US4788994A (en) * 1986-08-13 1988-12-06 Dainippon Screen Mfg. Co. Wafer holding mechanism
US4732785A (en) 1986-09-26 1988-03-22 Motorola, Inc. Edge bead removal process for spin on films
FR2623134B1 (fr) 1987-11-13 1991-08-02 Salem Ali Procede technique de decoupe et d'ajourage de plaques metalliques en vue de leur reproduction et incrustation
US5224504A (en) 1988-05-25 1993-07-06 Semitool, Inc. Single wafer processor
US5235995A (en) 1989-03-27 1993-08-17 Semitool, Inc. Semiconductor processor apparatus with dynamic wafer vapor treatment and particulate volatilization
US5230743A (en) 1988-05-25 1993-07-27 Semitool, Inc. Method for single wafer processing in which a semiconductor wafer is contacted with a fluid
US5092975A (en) 1988-06-14 1992-03-03 Yamaha Corporation Metal plating apparatus
JPH01316936A (ja) 1988-06-17 1989-12-21 Toshiba Corp 半導体基板エッチング処理装置
US5316974A (en) 1988-12-19 1994-05-31 Texas Instruments Incorporated Integrated circuit copper metallization process using a lift-off seed layer and a thick-plated conductor layer
JPH02253620A (ja) * 1989-03-28 1990-10-12 Oki Electric Ind Co Ltd 半導体基板の洗浄装置
JP2803143B2 (ja) * 1989-04-14 1998-09-24 カシオ計算機株式会社 半導体ウエハのメッキ前処理方法
JPH02309638A (ja) * 1989-05-24 1990-12-25 Fujitsu Ltd ウエハーエッチング装置
US5039381A (en) 1989-05-25 1991-08-13 Mullarkey Edward J Method of electroplating a precious metal on a semiconductor device, integrated circuit or the like
US5055425A (en) 1989-06-01 1991-10-08 Hewlett-Packard Company Stacked solid via formation in integrated circuit systems
US5162260A (en) 1989-06-01 1992-11-10 Hewlett-Packard Company Stacked solid via formation in integrated circuit systems
US5155336A (en) 1990-01-19 1992-10-13 Applied Materials, Inc. Rapid thermal heating apparatus and method
JP2841618B2 (ja) 1990-01-25 1998-12-24 日本電気株式会社 ウェットエッチング装置
US5222310A (en) 1990-05-18 1993-06-29 Semitool, Inc. Single wafer processor with a frame
US5259407A (en) 1990-06-15 1993-11-09 Matrix Inc. Surface treatment method and apparatus for a semiconductor wafer
US5252807A (en) 1990-07-02 1993-10-12 George Chizinsky Heated plate rapid thermal processor
US5368711A (en) 1990-08-01 1994-11-29 Poris; Jaime Selective metal electrodeposition process and apparatus
US5256274A (en) 1990-08-01 1993-10-26 Jaime Poris Selective metal electrodeposition process
JPH0810686B2 (ja) * 1990-09-14 1996-01-31 株式会社東芝 半導体基板エッチング処理装置
DE69231971T2 (de) 1991-01-24 2002-04-04 Wako Pure Chem Ind Ltd Lösungen zur Oberflächenbehandlung von Halbleitern
JP2665404B2 (ja) * 1991-02-18 1997-10-22 シャープ株式会社 半導体装置の製造方法
DE4109955A1 (de) 1991-03-26 1992-10-01 Siemens Ag Verfahren zum nasschemischen aetzen einer wolframrueckseitenbeschichtung auf einer halbleiterscheibe
JPH04363022A (ja) * 1991-06-06 1992-12-15 Enya Syst:Kk 貼付板洗浄装置
JPH0513322A (ja) * 1991-07-09 1993-01-22 Ryoden Semiconductor Syst Eng Kk 被膜溶剤塗布装置
JPH06124887A (ja) * 1991-09-27 1994-05-06 Sony Corp 半導体装置の製造方法及びこれに使用できる基板洗浄装置
JPH0715897B2 (ja) 1991-11-20 1995-02-22 株式会社エンヤシステム ウエ−ハ端面エッチング方法及び装置
JPH05160104A (ja) 1991-12-05 1993-06-25 Fujitsu Ltd 半導体ウェーハのウェット処理方法及びウェット処理装置
DE4202194C2 (de) 1992-01-28 1996-09-19 Fairchild Convac Gmbh Geraete Verfahren und Vorrichtung zum partiellen Entfernen von dünnen Schichten von einem Substrat
JP2528413B2 (ja) * 1992-02-03 1996-08-28 オリジン電気株式会社 不要塗膜の剥離方法及び装置
JP2654314B2 (ja) 1992-06-04 1997-09-17 東京応化工業株式会社 裏面洗浄装置
JPH0617291A (ja) 1992-07-03 1994-01-25 Nec Corp 金属めっき装置
US5328589A (en) 1992-12-23 1994-07-12 Enthone-Omi, Inc. Functional fluid additives for acid copper electroplating baths
US5718813A (en) 1992-12-30 1998-02-17 Advanced Energy Industries, Inc. Enhanced reactive DC sputtering system
JP3277404B2 (ja) * 1993-03-31 2002-04-22 ソニー株式会社 基板洗浄方法及び基板洗浄装置
JP3247211B2 (ja) 1993-08-02 2002-01-15 富士通株式会社 配線用銅膜表面の酸化銅除去方法
US5608943A (en) 1993-08-23 1997-03-11 Tokyo Electron Limited Apparatus for removing process liquid
US5415890A (en) 1994-01-03 1995-05-16 Eaton Corporation Modular apparatus and method for surface treatment of parts with liquid baths
US5625170A (en) 1994-01-18 1997-04-29 Nanometrics Incorporated Precision weighing to monitor the thickness and uniformity of deposited or etched thin film
JP3377849B2 (ja) 1994-02-02 2003-02-17 日本エレクトロプレイテイング・エンジニヤース株式会社 ウエーハ用メッキ装置
US5651865A (en) 1994-06-17 1997-07-29 Eni Preferential sputtering of insulators from conductive targets
US5753133A (en) * 1994-07-11 1998-05-19 Applied Komatsu Technology, Inc. Method and apparatus for etching film layers on large substrates
JPH0837143A (ja) 1994-07-25 1996-02-06 Fuji Xerox Co Ltd 半導体処理装置
KR960006409U (ko) * 1994-07-26 1996-02-17 무선전화기의 안테나 장착 장치
US5705223A (en) 1994-07-26 1998-01-06 International Business Machine Corp. Method and apparatus for coating a semiconductor wafer
JPH0878378A (ja) * 1994-09-08 1996-03-22 Toshiba Corp 半導体基板の表面処理方法
JPH08195370A (ja) * 1995-01-13 1996-07-30 Sony Corp エッジクリーン方法
JP3137873B2 (ja) 1995-06-27 2001-02-26 株式会社日立製作所 半導体ウェハシールエッチング装置
JPH0969509A (ja) * 1995-09-01 1997-03-11 Matsushita Electron Corp 半導体ウェーハの洗浄・エッチング・乾燥装置及びその使用方法
JP3447869B2 (ja) * 1995-09-20 2003-09-16 株式会社荏原製作所 洗浄方法及び装置
US5807469A (en) 1995-09-27 1998-09-15 Intel Corporation Flexible continuous cathode contact circuit for electrolytic plating of C4, tab microbumps, and ultra large scale interconnects
JPH09298181A (ja) * 1996-05-07 1997-11-18 Tokyo Ohka Kogyo Co Ltd 基板の裏面洗浄装置
US5997653A (en) * 1996-10-07 1999-12-07 Tokyo Electron Limited Method for washing and drying substrates
US6114254A (en) * 1996-10-15 2000-09-05 Micron Technology, Inc. Method for removing contaminants from a semiconductor wafer
US5937469A (en) * 1996-12-03 1999-08-17 Intel Corporation Apparatus for mechanically cleaning the edges of wafers
TW345681B (en) * 1996-12-13 1998-11-21 Taiwan Semiconductor Mfg Co Ltd Method for removing covering layer on the peripheral edge portion of wafer
KR19980065672A (ko) * 1997-01-14 1998-10-15 김광호 기판 에지 불순물 제거 방법
JP3300624B2 (ja) * 1997-01-24 2002-07-08 東京エレクトロン株式会社 基板端面の洗浄方法
KR100249309B1 (ko) * 1997-02-28 2000-03-15 윤종용 반도체 제조용 포토 레지스트 코팅 장치
TW419716B (en) * 1997-04-28 2001-01-21 Tokyo Electron Ltd Processing apparatus
AT407806B (de) * 1997-05-23 2001-06-25 Sez Semiconduct Equip Zubehoer Anordnung zum behandeln wafer-förmiger gegenstände, insbesondere von siliziumwafern
US5783097A (en) * 1997-06-09 1998-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Process to avoid dielectric damage at the flat edge of the water
US6017437A (en) 1997-08-22 2000-01-25 Cutek Research, Inc. Process chamber and method for depositing and/or removing material on a substrate
JP3469788B2 (ja) * 1997-08-26 2003-11-25 東京エレクトロン株式会社 薄膜除去方法及びその装置
TW385489B (en) * 1997-08-26 2000-03-21 Tokyo Electron Ltd Method for processing substrate and device of processing device
US6179983B1 (en) 1997-11-13 2001-01-30 Novellus Systems, Inc. Method and apparatus for treating surface including virtual anode
US6159354A (en) 1997-11-13 2000-12-12 Novellus Systems, Inc. Electric potential shaping method for electroplating
US5897379A (en) * 1997-12-19 1999-04-27 Sharp Microelectronics Technology, Inc. Low temperature system and method for CVD copper removal
US6117778A (en) * 1998-02-11 2000-09-12 International Business Machines Corporation Semiconductor wafer edge bead removal method and tool
JPH11288903A (ja) * 1998-04-03 1999-10-19 Memc Kk シリコンウエハのエッジ鏡面化方法
KR100616198B1 (ko) 1998-04-21 2006-08-25 어플라이드 머티어리얼스, 인코포레이티드 기판상에 전기도금하는 전기화학적 증착 시스템 및 방법
US6254760B1 (en) 1999-03-05 2001-07-03 Applied Materials, Inc. Electro-chemical deposition system and method
US6258220B1 (en) 1998-11-30 2001-07-10 Applied Materials, Inc. Electro-chemical deposition system
US6290865B1 (en) 1998-11-30 2001-09-18 Applied Materials, Inc. Spin-rinse-drying process for electroplated semiconductor wafers
JP3395696B2 (ja) * 1999-03-15 2003-04-14 日本電気株式会社 ウェハ処理装置およびウェハ処理方法
US6309981B1 (en) 1999-10-01 2001-10-30 Novellus Systems, Inc. Edge bevel removal of copper from silicon wafers

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9815092B2 (en) 2013-07-04 2017-11-14 Kaijo Corporation Ultrasonic cleaning apparatus

Also Published As

Publication number Publication date
JP4603136B2 (ja) 2010-12-22
SG80684A1 (en) 2001-05-22
EP1067591A3 (en) 2004-03-24
EP1067591A2 (en) 2001-01-10
US6516815B1 (en) 2003-02-11
KR20010049738A (ko) 2001-06-15
JP2001135612A (ja) 2001-05-18
KR100717445B1 (ko) 2007-05-14

Similar Documents

Publication Publication Date Title
TW455920B (en) Edge bead removal/spin rinse dry (EBR/SRD) module
US6770565B2 (en) System for planarizing metal conductive layers
TW508661B (en) Method and apparatus for forming metal layer on substrate
TW523556B (en) Process for etching thin-film layers of a workpiece used to form microelectronic circuits or components
US6162726A (en) Gas shielding during plating
TW463351B (en) In-situ electroless copper seed layer enhancement in an electroplating system
US6809029B2 (en) Semiconductor production device and production method for semiconductor device
TWI222166B (en) An apparatus and a method for depositing a metal layer in an electrochemical deposition process
US6939403B2 (en) Spatially-arranged chemical processing station
TW513751B (en) Electro-chemical deposition system
TWI419258B (zh) 以無電鍍銅方式形成圖案化銅線的系統及方法
US20030092264A1 (en) Substrate processing apparatus and method
US6494219B1 (en) Apparatus with etchant mixing assembly for removal of unwanted electroplating deposits
US20030073309A1 (en) Apparatus and method for edge bead removal
US20060033678A1 (en) Integrated electroless deposition system
TWI568892B (zh) 用以清潔電鍍用基板支架的清潔裝置及使用清潔裝置移除電鍍用基板支架上的污染物的方法
TWI660458B (zh) 用於改良互連性能之保護通孔蓋
TW473812B (en) Method of manufacturing semiconductor device and manufacturing apparatus
TWI239559B (en) Apparatus and method for electro chemical plating using backside electrical contacts
JP2006041453A (ja) 配線形成方法及び配線形成装置
US20060003570A1 (en) Method and apparatus for electroless capping with vapor drying
JP3111928B2 (ja) 金属膜の研磨方法
US6708701B2 (en) Capillary ring
JP2017050304A (ja) 半導体装置の製造方法
JP2001181851A (ja) めっき方法及びめっき構造

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees