JP4566559B2 - 誘電層の形成方法 - Google Patents

誘電層の形成方法 Download PDF

Info

Publication number
JP4566559B2
JP4566559B2 JP2003533334A JP2003533334A JP4566559B2 JP 4566559 B2 JP4566559 B2 JP 4566559B2 JP 2003533334 A JP2003533334 A JP 2003533334A JP 2003533334 A JP2003533334 A JP 2003533334A JP 4566559 B2 JP4566559 B2 JP 4566559B2
Authority
JP
Japan
Prior art keywords
nitrogen
dielectric
deposition
supply
source gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2003533334A
Other languages
English (en)
Other versions
JP2005505920A (ja
JP2005505920A5 (ja
Inventor
エリック ジェイ. シェロ
クリストフ エフ. ポマレド
Original Assignee
エーエスエム アメリカ インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by エーエスエム アメリカ インコーポレイテッド filed Critical エーエスエム アメリカ インコーポレイテッド
Publication of JP2005505920A publication Critical patent/JP2005505920A/ja
Publication of JP2005505920A5 publication Critical patent/JP2005505920A5/ja
Application granted granted Critical
Publication of JP4566559B2 publication Critical patent/JP4566559B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3144Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/3165Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation
    • H01L21/31683Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of metallic layers, e.g. Al deposited on the body, e.g. formation of multi-layer insulating structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02183Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing tantalum, e.g. Ta2O5
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02192Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing at least one rare earth metal element, e.g. oxides of lanthanides, scandium or yttrium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02197Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides the material having a perovskite structure, e.g. BaTiO3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/932Boron nitride semiconductor
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12014All metal or with adjacent metals having metal particles
    • Y10T428/12021All metal or with adjacent metals having metal particles having composition or density gradient or differential porosity
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12458All metal or with adjacent metals having composition, density, or hardness gradient
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31678Of metal

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Formation Of Insulating Films (AREA)

Description

本発明の分野
本発明は、概して、集積回路における誘電薄膜、及び更に、特に、高kゲート誘電膜への窒素の取り込みに関する。
本発明の背景
集積回路の設計は、絶えず、より迅速な回路操作及びより少ない電力消費を追求して、スケールダウンされている。回路設計においてスケーリングされた大きさ(scaled dimension)は、一般的に、それに伴う作製工程における変化を要求する。
集積回路の基本的な構成単位(basic building block)は、薄膜トランジスタ(TFT)である。当該分野において知られているように、トランジスタは、代表的に、薄型ゲート誘電材料によって、半導体層又は基板から隔てられたゲート電極を備える。最先端のトランジスタに関してよく用いられる頭字語はMOS(金属−酸化物−シリコンの代わりに)であるが、ゲート電極に適した材料は、長い間、金属よりもシリコンであった。とりわけ有利な点としては、シリコンゲート電極は、高温プロセスに耐えることができ、トランジスタを完成させるために使用される自己整合ドーピングプロセス(self−aligned doping processes)可能にし、それゆえ高価なマスキング工程を省くことができる。
従って、従来のゲート電極は、導電率を高める不純物(conductivity−enhancing impurities)(ヒ素、リン、ホウ素のような)でドープしたポリシリコンで形成されている。シリコンは、シリコンソースガス(例えば、シラン)と同時にドーパントソースガス(dopant source gas)(例えば、アルシン、ホスフィン、ジボラン等)を流すことによるin situドーピングを用いるCVDにより、堆積させることができる。最近、シリコン電極をゲルマニウムでドーピングし、それにより、トランジスタゲート電極の電気的な仕事関数(electrical work function)が低下する可能性があることに注目が集っている。従って、回路を操作するために、低減させた電圧が必要であり、これは、結果的により少ない熱を発生する。さらに、シリコンゲルマニウムゲート電極は、周囲の材料及び現在の集積回路製作プロセスの適合性を残す。シリコンゲルマニウム層を形成するための計画は、化学気相堆積(CVD)プロセスにおいてシラン(SiH4)とともにゲルマン(GeH4)を形成することによるシリコン層のin situドーピングを含む。
in situドーピングされたCVDプロセスは、シリコンゲルマニウムの製造において効率的であることが見いだされている一方、シランフローへのゲルマンの添加が、誘電材料、特に、酸化物(以下で議論される酸化シリコン及び高k材料のいくつかのような)上でのインキュベーション又は核形成時間を顕著に増大させることが見出されている。同様に、他のゲート電極材料(例えば、ポリシリコン、特にシリコンソースとともにドーパントソースガス(例えば、ジボラン、アルシン又はホスフィン)を流すことによってin situドーピングされたシリコン)を化学気相堆積させるとき、誘電材料上のゆっくりとした核形成が生じる。
ゆっくりとした核形成は、より長い全体的な堆積時間、より低いスループット、そして結果的により大きな製作コストを必然的に伴う。半導体産業は、製作コストに対して非常に敏感である。従って、プロセッシングのどこかのステージにおける、ウェハスループットにおけるいかなる増加も、製造コストの削減及びより高い利益につながる。
SiGe又は他のin situドーピングされたシリコンの堆積を速めてきた一つの方法は、ゲート誘電体上での核形成層(代表的には、シリコン)の最初の形成、それに続くポリ−SiGe堆積によるものである。この更なる工程は、不利なことに、プロセスの流れを複雑にし、トランジスタに所望される仕事関数を確保するために誘電体−電極インターフェイスでドーピング濃度の調整を必要とし、さらに、必ずしも迅速且つ均一な核形成を保証するわけではない。シリコン及びポリ−SiGe堆積プロセスを最適化する他の最近の研究は、また、層の均一性を維持しながら、堆積速度を増大させることに焦点を合わせている。例えば、米国特許出願第5,607,724号;第5,614,257号;第5,700,520号;第5,874,121号;及び 第5,876,797号は、「高圧」条件下において、CVDによって、高速で(at high rates)、ポリシリコンを堆積させる方法を記載している。
プロセス制御が特に重要な別の領域は、トランジスタゲート誘電体の製作である。更に速く且つより効率的な回路を求めて、半導体設計は、絶えず、各製品の世代(each product generation)とともに、スケールダウンされている。トランジスタのスイッチング時間は、より迅速な回路操作のために大きな役割を果たす。また、トランジスタのチャネル長を減少させることによって、スイッチング時間を少なくすることができる。トランジスタ性能において最大限の改良を実現させるために、水平方向の大きさとともに、垂直方向の大きさがスケーリングされる(scaled)べきである。従って、効果的なゲート誘電体の厚さ、接合深さ(junction depth)などは、全て、次世代の集積回路では、減少するであろう。今まで、このスケーリング(scaling)は、ゲート電極幅を0.25μmより小さい値まで減少させてきた。現在では、0.18μm又はそれより小さいゲート幅又は限界寸法(critical dimensions)を採用した市販品を入手することができる。
従来のゲート誘電体は、高品質のシリコン酸化物で形成され、そして典型的に「ゲート酸化物」層と呼ばれる。しかしながら、極薄型ゲート酸化物(7nmより小さい)は、高い欠陥密度(ピンホール、電荷捕獲状態、及びホットキャリア注入効果への感受性(susceptibility)を含む)を示すことが見出されている。このような高い欠陥密度は、ゲート誘電体を通る電流のリーク(leakage currents)、並びに0.25μmより小さいゲート間隔(gate spacing)を有する回路設計(即ち、サブ−クオーター−ミクロン技術)にとって許容できない急速なデバイス破壊を、引き起こす。
欠陥密度を制御するため、実験条件下で注意を払うことができるが、このような制御を商業規模の製造条件下において達成させるのは困難であった。さらに、酸化物の完全性(integrity)が完全に維持されているとしても、量子力学的な影響はゲート酸化物のスケーリングにおいて根本的な限界を設定する。高電場では、直接トンネリングがファウラー−ノルドハイムトンネリング(Fowler−Nordheim tunneling)を支配し、そして酸化物スケーリング限界(oxide scaling limits)を大きく決定する。これらのスケーリング限界は、ロジック回路について約2nmで、ダイナミックランダムアクセスメモリー(dynamic random access memory)(DRAM)回路におけるよりリーク感受性のメモリーアレイ(leakage−sensitive memory)(DRAM)については約3nmで見積もられてきた(例えば、Hu et al., “Thin Gate Oxides Promise High Reliability,” SEMICONDUCTOR INTERNATIONAL (July 1998), pp. 215−222を参照のこと)。
薄型ゲート酸化物に伴う別の問題は、上に位置するゲート電極からのドーパント拡散に対するそれらの感受性である。ポリシリコンゲート電極層は、典型的に、導電率を高めるために、ホウ素でドープされる。ゲート酸化物の厚さをスケールダウンするにつれて、ホウ素はゲート酸化物を容易に透過し、デバイス特性における不安定性をもたらす。ゲート誘電体へのホウ素透過は、閾電圧における正のシフト、閾値以下の振幅における増加、電荷捕獲における増加、低電場ホール移動性(low−field hole mobility)における減少、及びp−MOSFETにおけるポリシリコンの消耗に起因するカレントドライブの劣化(degradation of current drive)のような望ましくない結果を与える。
シリコン酸化物の欠点に取り組むためのいくつかの努力として、ゲート誘電体への窒素の組込みが挙げられる。窒化シリコン(Si34)は、SiO2よりも高い比誘電率を有し、理論上、トンネル制限されない(not tunnel−limited)ゲート誘電体についてより薄い等価酸化物の厚み(thinner equivalent oxide thickness)を可能にし、さらに不純物の拡散に対して効果的なバリアとして働く。しかしながら、窒化シリコン膜とその下に位置する半導体基板との間のインターフェイスは、一般的に品質に乏しく、電荷捕獲部位及びピンホールの高密度及びそれに伴う電流のリーク(current leakage)がもたらす。結果として、ゲート誘電体として使用するためのSiO2及びSi34ハイブリッド(シリコンオキシナイトライド膜のような)を作製するという試みがなされてきた。しかしながら、シリコン酸化物ゲート誘電体へ窒素を取込むための従来の方法は、特に、次世代デバイスの超薄型ゲート誘電体に関しては、コントロールするのが困難である。
スケーリングの問題に対する他の解決方法としては、高誘電率材料(「高k」)の使用が挙げられる。理論上、高k材料の材料(material of high−k material)のゲート誘電体への組込みは、更なるデバイススケーリングへの扉を開ける。より高い比誘電率に起因して、多くの材料がより薄い二酸化シリコン層(thinner silicon dioxide layer)と同じキャパシタンスを示し、トンネル制限挙動を生じることなく、低い等価酸化物の厚みが達成され得る。研究中の幾つかの高k材料としては、酸化アルミニウム(Al23)、酸化ジルコニウム(ZrO2)、酸化ハフニウム(HfO2)、バリウムストロンチウムチタネート(BST)、ストロンチウムビスマスタンタレート(SBT)、酸化タンタル(Ta25)等が挙げられる。このような誘電体は、約7より大きい比誘電率(又はk)値を有する。大きく増大した誘電強度(dielectric strength)を示すが、これらの材料を、現存の製作技術を用いて組み込むのは困難であった。
同様の高品質の薄型誘電層は、集積回路の製作における他のコンテクストにおいては望ましくない。メモリーアレイに統合されたキャパシタは、正しいデータ蓄積及び検索(retrival)のための特定の最小キャパシタンスを示さなければならない。所定のメモリーセルスペースに対するキャパシタンスを増加させるためのいくつかの試みは、上記に列挙されたような高い比誘電率によって特徴づけられる材料(高k材料)の使用に焦点をあてていた。
従って、半導体製造における(特に、トランジスタゲートスタック中のインターフェイスにて)誘電層及びコンダクターの統合を改善する必要がある。
本発明の要旨
本発明の1つの局面に従って、その厚みを通る窒素濃度の変化を制御しながら誘電層を形成するための方法が提供される。該方法は、約500℃より低い基板温度にて基板上へ誘電材料を堆積させることを包含する。誘電材料の堆積の間、基板への窒素の供給量を変化させる。
概略図に示された実施形態において、窒素濃度は、インターフェイスにて2つの異なる窒素ピーク(その間のバルク部分においてより低い窒素濃度を有する)を形成する。窒素の供給量は、窒素供給の第一レベルから、窒素供給の第二中間レベルへ、窒素供給の第三レベルへと(ここで、第二レベルは、第一レベル及び第三レベルの各々よりも低い)変化する。
1つの実施形態において、堆積方法は、遠隔プラズマ発生器(remote plasma generator)を通して変化する供給量の窒素励起種を供給することを包含する。別の実施形態において、堆積方法は、原子層堆積(ALD)プロセスへ供給される窒素の量を変化させることを含む。例えば、異なるサイクルにおいて比率を変化させながら、窒素及び酸化物ソースガスが同時にALDプロセスに供給されるアレンジメントが提供される。堆積の段階に依存して、頻度(frequency)を変化させながら、異なる窒素パルスが供給される別のアレンジメントが提供される。
本発明の別の局面にしたがって、集積回路に誘電層が提供される。誘電層は、誘電層の厚み全体的に金属酸化物を含み、金属酸化物は約7よりも大きな比誘電率を有する。誘電層は、第一窒素濃度を有する下部インターフェイス、第一窒素濃度よりも低い第二窒素濃度を有するバルク部、及び第二窒素濃度よりも高い第三窒素濃度を有する上部インターフェイスを含む。
本発明は、好ましい実施形態の詳細な説明及び添付の図面(これらは、単なる例示であり、本発明を限定することを意味しない。)から、より容易に理解されるであろう。
好ましい実施形態の詳細な説明
好ましい実施形態はトランジスタゲートスタックの関連で記載されているが、当業者は、本書に開示されている原理が、薄型高k材料が好ましくは傾斜組成(特に、特別なインターフェイスニーズ(needs)を有するもの)を含む様々な内容への適用を有することを容易に理解するであろう。このような内容の例としては、ランダムアクセスメモリー(RAM)アレイにおける高密度メモリーセルに対して提唱される、高k誘電体を含むキャパシタの形成におけるものである。本書に記載される方法は、特に、高k材料の安定性を維持すること及び高k材料への又は高k材料からの拡散を防ぐことにおいて有益である。
高ゲート誘電体上の従来のゲート電極堆積は、結果的に得られるデバイスの電気的性能が乏しいという結果を引き起こすことが見出されてきた。高k誘電体を含む集積回路の信頼性及びイールドを高めるために、本発明は、上部インターフェイス及び下部インターフェイスの両方の近傍で窒素の取り込みが最高である高k誘電体についての様々な組成を提供する。従って、2つの窒素ピークが、誘電体の厚みを介して生成される。さらに、本書で提供されるプロセスは、形成後の窒化物形成工程よりもむしろ、低温誘電体形成の間の窒素供給量を変化させること、及び高温堆積の間に必然的に直面する拡散の問題を回避することによる優れた制御を提供する。
より詳細にプロセスについて記載する前に、先ず、化学気相堆積(CVD)又は原子層堆積(ALD)によって層を堆積させるための典型的な反応器を以下に示す。他の反応器配置も使用され得る。例えば、別途示されないが、以下に記載されるALDプロセスはまた、PulsarTM 2000 ALCVDTM Reactor(ASM Microchemistry Oy of Espoo(フィンランド)より入手可能である)において行うこともできる。
CVD反応器
図1は、好ましい実施形態に従って構築され、そして本書で開示される方法が特に有用である、クォーツプロセスまたは反応チャンバー12を備える、気相堆積(vapor deposition)リアクター10を示す。もともと、一度に単一基板上のシリコンのエピタキシャル化学気相堆積(CVD)を最適化するように設計されたが、本発明者らは、優れたプロセッシングコントロールが、多くの異なるタイプの気相堆積において有用性を有することを見出した。例えば、本書の以下に記載されるALDプロセスは、好ましくは、入口フランジへの調整を行い、反応チャンバーを空にする前に異なる反応物パルスが混ざることを回避しながら、図1の示されるCVD反応器中で行われる。さらに、示されるリアクター10は、同チャンバー12中での多くの連続的な処理工程を安全かつクリーンに達成することができる。リアクター10の基本的なコンフィギュレーションは、ASM America, Inc. Phoenix, AZから、商標名EpsilonTMの下で市販されている。しかしながら、本書に記載されるプロセスは、多くの異なる反応器コンフィギュレーションに適用可能であり且つ有用性を有することが理解されるであろう。最も好ましくは、図1のEpsilonTMリアクターは、以下に記載されるプロセスに従って、誘電材料のプラズマで促進された又は補助されたCVDについて使用される。図1のチャンバーは、また、以下に記載されるプロセスに従う誘電材料のALDのためのALDモジュール(図2A〜2Cを参照のこと)とともに、クラスターツールにおける電極材料のCVDについて使用され得る。
示されるリアクター10において、複数の放射熱源が、クォーツチャンバー12ウォールによるかなりの吸収なしにチャンバー12中に熱エネルギーを提供するように、チャンバー12の外側に支えられる。好ましい実施形態は半導体ウェハをプロセッシングするための“コールドウォール(cold wall)”リアクターの文脈において記載されるが、ここで記載されるプロセッシング方法は、誘導(inductive)または抵抗加熱を使用するような他の加熱/冷却システムと合わせての有用性を有することが理解されるであろう。
示される放射熱源は、細長いチューブタイプ放射加熱エレメント13の上部加熱アセンブリを含む。上部加熱エレメント(upper heating elements)13は、好ましくは、間隔を隔てた(spaced−apart)平行関係に配置され、そしてまた下にある反応チャンバー12を通る反応物ガス流路と実質的に平行である。下部加熱アセンブリは、好ましくは上部加熱エレメント13に対して横断方向に配置される、反応チャンバー12の下に類似の細長いチューブタイプ放射加熱エレメント14を含む。望ましくは、放射熱の一部は、それぞれ上部および下部ランプ13、14の上および下の粗い鏡面反射プレート(rough specular reflector plates)(示されない)によってチャンバー12へ拡散的に反射される。更に、複数のスポットランプ15は、濃縮された熱を、基板支持構造(以下に記載される)の下部へ供給し、反応チャンバー12の下部を通って延びる冷却支持構造によって作られる放熱作用を妨げる。
各々の細長いチューブタイプ加熱エレメント13、14は、好ましくは、ハロゲンガス(例えば、ヨウ素)を含有する透明クォーツエンベロープ(transparent quartz envelope)を有する高強度タングステンフィラメントランプである。このようなランプは、かなりの吸収なしに、反応チャンバー12のウォールを通して伝達されるフル−スペクトル(full−spectrum)放射熱エネルギーを生成する。半導体プロセッシング装置の分野において公知であるように、種々のランプ13、14、15の電力が、温度センサーに応答して独立的にまたはグループ化ゾーンで制御され得る。
好ましくはシリコンウェハ16を含む基板が、基板支持構造18上の反応チャンバー12内に支持されている状態で示される。例示される実施形態の基板が単結晶シリコンウェハであるが、用語“基板”は、広く、層が堆積される任意の表面(surface)をいうことが理解されることに注意すること。さらに、本書に記載される原理及び利点は、フラットパネルディスプレイにおいて使用されるもののようなガラス基板を含む(限定されない)、多くの他のタイプの基板上での層の堆積さへも同様に適用される。
示される支持構造18は、上にウェハ16が置かれている基板ホルダー又はサセプタ20、及び支持スパイダー22を含む。スパイダー22は、チャンバー下方ウォール(chamber lower wall)に依存するチューブ26を通って下方へ延びる回転シャフト24上へ置かれる。好ましくは、チューブ26は、プロセッシングの間流れ得るパージ又はスウィープガスのソースへ接続され、プロセスガスがチャンバー12の下方部へ漏れることを妨げる。
複数の温度センサーが、ウェハ16の近くに位置付けられる。温度センサーは、様々な形状(オプティカルパイロメーター(optical pyrometers)又はサーモカップル(thermocouples)のような)のいずれかをとり得る。温度センサーの数及び位置は、好ましい温度コントローラーについての以下の記載から考えると分かるように、温度均一性が促進されるように選択される。しかしながら、好ましくは、温度センサーは直接的又は間接的にウェハに近い位置の温度を検知する。
示される実施形態において、温度センサーとしては、任意の適した態様でウェハホルダー20より下に吊り下げられたサーモカップル(第一又は中央サーモカップル(first or central thermocouple)28を含む)が挙げられる。示される中央サーモカップル28は、ウェハホルダー20に近いスパイダー22を通る。リアクター10は、更に、リーディングエッジ(leading edge)又は前方サーモカップル29、トレーリングエッジ(trailing edge)又は後方サーモカップル30、並びにサイドサーモカップル(示されない)を含む複数の第二又は周辺サーモカップル(また、ウェハ16に近い)を含む。各周辺サーモカップルは、基板ホルダー20及びウェハ16を取り囲むスリップリング32内に収容される。各中央及び周辺サーモカップルは、サーモカップルの読み取りに応じて、種々の加熱エレメント13,14,15のパワーを設定する温度コントローラーへ接続される。スリップリング32は、任意の適当な手段により吊り下げられ得る。例えば、示されるスリップリング32は、前方チャンバーディバイダー(divider)36及び後方チャンバーディバイダー38からぶら下げられたエルボ34上に設置される。ディバイダー36、38は、望ましくは、クウォーツからなる。幾つかのアレンジメントにおいて、後方ディバイダー38は省略され得る。
示される反応チャンバー12は、反応物及びキャリアガスの注入のための入口ポート40を備え、ウェハ16はまた、それを通して受けられ得る(received)。出口ポート42はチャンバー12の反対側にあり、入口40及び出口42との間にウェハ支持構造18が位置する。入口コンポーネント50は、入口ポート40を囲むよう適合された反応チャンバーへ取り付けられ、そして、ウェハ16が挿入され得る水平な長いスロット52を含む。一般的に、垂直入口54は、遠隔ソースからガスを受け取り(以下でより十分に記載するように)、そしてこのようなガスをスロット52及び入口ポート40に伝達する(communicate)。入口54は、CVDのためのオリジナルのEpsilonTMデザインから改変され得、その代わり、CVD様反応を避けるために、チャンバー12へ入るまで、別のALD前駆体ソースからのガスフローを離しておくよう配置され得る。また、出口コンポーネント56は、排気口58が、出口ポート42と整列し、そして排出コンジット59へと導くように、プロセスチャンバー12上へ取り付けられる。逆に、コンジット59は、チャンバー12を通るプロセスガスを吸引するための適当な真空手段(示されない)と接続され得る。好ましくは、チャンバー12を通るプロセスガスを吸引するのを助けるため、及び低圧プロセッシングのためにチャンバーを空にする(to evacuate the chamber)、ポンプ又はファンが備えられる。
また、示されるリアクター10は、好ましくは、チャンバー10から上流へ位置付けられる励起種のソース60を備える。示される実施形態の励起種ソース60は、遠隔プラズマ発生器(マグネトロン電力発生器(magnetron power generator)及びガスライン62に沿うアプリケーターを含む)を備える。典型的な遠隔プラズマ発生器は、商標名TRW−850のもとで、Rapid Reactive Radicals Technology (R3T) GmbH、Munich、Germanyより入手可能である。示された実施形態において、マグネトロンからのマイクロ波エネルギーは、ガスライン62に沿ったアプリケーターにおいて、流動ガスへ連結される。前駆体ガス63のソースは、励起種発生器60への導入のためのガスライン62へ連結される。また、キャリアガス64のソースは、ガスライン62へ連結される。また、更なる反応物のために、1又はそれ以上の更なるブランチライン65が提供され得る。当該分野において知られているように、ガスソース63、64は、反応種の形態(form)及び揮発性に応じて、ガスタンク、バブラー等を備え得る。各ガスラインは、別々の質量フローコントローラー(MFC)及びバルブ(示されるように)が提供され得、励起種発生器60及びそこから反応チャンバー12へ導入されたキャリア及び反応物種の相対量(relative amount)の選択を可能にする。他のアレンジメントにおいて、励起種がプロセスチャンバーの内部又はすぐ近くで生成され得ることは、理解されるであろう(図2A〜2C及びそれに対応する文章を参照のこと)。
ウェハは、好ましくは、ピックアップデバイスにより、スロット52を介して、周りの環境から隔離されているハンドリングチャンバー(示されない)から、移される(passed)。ハンドリングチャンバー及びプロセッシングチャンバー12は、好ましくは、米国特許第4,828,224(この開示は、本書において参照として援用される)に開示されるタイプのゲートバルブ(示されない)によって、分離される。
200mmウェハをプロセッシングするために設計された枚葉式チャンバー12の総体積キャパシティーは、例えば、好ましくは、約30リットルより小さく、より好ましくは約20リットルより小さく、そして最も好ましくは約10より小さい。示されるチャンバー12は、約7.5リットルのキャパシティーを有する。しかしながら、示されるチャンバー12は、ディバイダー36、38、ウェハホルダー20、リング32、及びチューブ26から流れるプラグガスパージガスによって分けられるので、プロセスガスが流れる有効な体積は、総体積の約半分(示される実施形態において、約3.77リットル)である。もちろん、ウェハ(これを収容するよう、チャンバー12が設計された)の大きさに依存して、枚葉式チャンバー12の体積が異なることは理解される。例えば、300mmウェハは別にして、示されるタイプの枚葉式チャンバー12は、好ましくは、約100リットルより小さい、さらに好ましくは約60リットルより小さい、そして最も好ましくは約30リットルより小さいキャパシティーを有する。1つの300mmウェハプロセッシングチャンバーは、約11.83リットルの有効プロセッシングガスキャパシティーを含む、約24リットルの総体積を有する。
述べたように、複数の気相前駆体ソース(示されない)は、ガスパネルで調整される質量フローコントローラー(“MFC”)と共に、付属の安全及び制御バルブを備えるガスライン介して入口54へ接続される。ガスソースは、例えば、キャリアガスソース(例えば、H2又はN2);金属前駆体(例えば、ZrCl4、HfCl4、WF6、TiCl4、CuCl等);オキシダントソースガス(例えば、O2、O3、Oラジカル、H2O、NO、N2O等);窒素ソースガス(例えば、NH3、N2、NO、N2O、ヒドラジン等)、シリコンソース(例えば、SiH4、Si26、Si38、Si410、DCS、TCS);ゲルマニウムソース(例えば、GeH4)、;ドーパントソース(例えば、ホスフィン、アルシン、およびジボラン)並びにリアクターウォール及び他の内部コンポーネントをクリーニングするためのエッチャント(例えばHCl又はNF3/Cl2)が挙げられ得る。気相ソースは、バブラー中の液体反応物ソース並びに気相反応物をバブラーから反応チャンバー12へバブリング及び運ぶためのガスラインを含み得る。
プロセスガスは、中央コントローラー(メモリー及びマイクロプロセッサーを含む)へプログラムされた方向に従って、入口54へ伝達され、そして、インジェクターを通ってプロセスチャンバー12へ分布される。プロセスチャンバー12を通った後、未反応のプロセスガス及びガス反応の副産物は、大気へ排出する前に環境的に危険なフュームを濃縮するために、スクラバーへ排出される。
遠隔プラズマチャンバーを備えるALDレリアクター
図2Aは、本発明に従う特定の特徴及び利点を有するALD又はALEリアクター130の1つの実施形態を示す。示される実施形態は、反応ゾーンを画定する反応チャンバー132を含む。ウェハ又は基板136は、反応チャンバー132内に配置され、そしてペデスタル(pedestal)138(これは、好ましくは、チャンバー132を開け、反応チャンバー132の中及び外に基板136を移動させるよう配置される)によって支持される。改変されたアレンジメントにおいて、リアクター130は、ウェハ入口/出口ポート及びロボットアームをもつ外付けのロボットを備え得る。
示される実施形態において、2つの前駆体が反応チャンバー132へ供給される。第一前駆体は、第一前駆体コンジット140を通して、反応チャンバー132へ供給される。同様に、第二前駆体は、第二前駆体供給コンジット142を通して、反応チャンバー132へ供給される。各供給コンジットは、前駆体供給ソース(示されない)、そして好ましくはパージガスソース(示されない)へ接続される。パージガスは、不活性ガスであり、一例として、窒素又はアルゴンであり得る。また、パージガスは、第一及び/又は第二前駆体を移送するキャリアガスとして使用され得る。リアクター130はまた、材料を反応チャンバー132から取り除くための排気管(exhaust)144を備える。
シャワーヘッドプレート(showerhead plate)146は、反応チャンバー132内へ配置される。好ましくは、シャワーヘッドプレート146は、1つの一体化エレメントである。シャワーヘッドプレート146は、好ましくは、反応ゾーン全体にわたり、そして反応チャンバー132をプラズマキャビティー149を画定する上部チャンバー148、及び下部チャンバー150に分ける。シャワーヘッドプレート146は、少なくとも部分的に、上部チャンバー148と下部チャンバー150を繋ぐ複数の通路(passages)152を画定する。示される実施形態において、このような通路152は、一般的に、基板136より上に設置されるシャワーヘッドプレート146中に小さな孔を作ることにより、形成される。この方法では、シャワーヘッドプレート146は、実質的に、第二コンジット142からの流れが全体的に基板136より上に存在するまで、第二前駆体が下部チャンバー150へ流入することを妨げる。
述べたように、示される反応チャンバー132の上部チャンバー148は、in−situラジカルを生成するための隔離したプラズマキャビティー149を画定する。このようなin−situラジカルは、基板の表面上の反応を促進させるために使用され得る。in−situラジカルを生成するために、例えば、プラズマキャビティーの内部又は外部に位置するキャパシター電極(即ち、キャパシタと結合したプラズマ(capacitively coupled plasma))、RFコイル(即ち、誘導性結合したプラズマ(inductively coupled plasma))、光、イオン化照射、熱(例えば、加熱されたタングステンフィラメントが使用され、水素分子から水素ラジカルを形成させる)及び/又はプラズマを発生させるための化学反応のような様々な方法で、プラズマがプラズマキャビティー149内に生成され得る。
図2Aの実施形態において、キャパシタ電極154は、反応チャンバー132及びプラズマキャビティー149の外側に位置付けられる。シャワーヘッドプレート146は、プラズマキャビティー149と基板136との間に配置され、示される実施形態において、他の電極と同じように使用される。この実施形態は幾つかの利点を有する。例えば、ラジカルの寿命が非常に短くても、成長面(即ち、基板136)への通路は、成長反応への貢献を保証するのに十分なほど短い。さらに、上部チャンバー148は、プラズマイグニションのために必要な空間を提供するのに、また、プラズマを成長面から離すことによって、プラズマにおけるエネルギー粒子及び電荷の有害な影響からこれを保護するために、十分な大きさに作製され得る。
図2Bは、また、プラズマキャビティー162を利用するリアクター160の改変された実施形態を示す。この実施形態において、リアクター160は、反応スペース164を画定する反応チャンバー163を備える。基板166は、反応スペース内に位置付けられ、そして、ウェハホルダー170(これは、加熱され得る)に支持される。第一前駆体は、第一供給コンジット172を通じて反応スペースへ導入される。好ましくは、第一供給コンジット172及び反応チャンバー163は、反応チャンバー内の第一前駆体の流れが、基板166の反応面に対して全体的に平行になるように、配置される。排気管174及びポンプ(示されない)は、好ましくは、反応チャンバー163から材料を取り除くために備えられる。
リアクター160は、また、プラズマキャビティーを画定する上部チャンバー175(これは、示される実施形態において、概して、反応スペース164より上に位置付けられる)を備える。上部チャンバー175は、in situラジカルが生成されるプラズマキャビティー162を画定する。ラジカルを生成するために、第二前駆体は、第二供給コンジット176を介して、プラズマキャビティー162へ導入される。ラジカル及び他の励起種は、上部チャンバー175において生じるプラズマから生成される。プラズマを生成するために、示される実施形態では、例えば、クォーツで作られたウィンドウ(window)178によってプラズマキャビティー162から隔離された平面RF TCPコイル及びRFシールド177を利用する。そのような平面誘導コイルの一例は、Journal of Applied Physics、Volume 88、Number 7、3889 (2000)、およびJournal of Vacuum Science Technology、A19(3)、718 (2001)に記載され、これらは、本書において参考として援用される。
プラズマキャビティー162及び反応スペース164は、ラジカル又はシャワーヘッドプレート180によって隔てられる。シャワーヘッドプレート180は、好ましくは、プラズマキャビティーにおいて形成されたラジカルが反応スペース164へ流れ込み得る複数の通路182を画定する。好ましくは、通路182を通る流れは、概ね、基板166の反応表面へ向く。いくつかの実施形態では、シャワーヘッドプレート180と基板166との間のスペースは、数ミリメートル程度の小ささであり得る。このような配置によって、短寿命のラジカルのためであっても、ウェハ表面において十分なラジカル濃度を提供する。
いくつかの実施形態において、パージガスは、パージ入口184を介してプラズマキャビティー162へ連続的に供給され得る。このような実施形態では、上部チャンバー175は、圧力管理(pressure regime)を実質的に一定にして作動し得る。他の実施形態において、シャワーヘッドプレート180及び反応チャンバー163に近接する周辺コンポーネントは、シャワーヘッドプレート180の片側のプラズマ及び/又は反対側の加熱されたウェハホルダー170、或いはシャワーヘッドプレート180を別々に加熱することのいずれかによって生じた結果として、加熱され得る。
いくつかの実施形態において、RF電力を使用して、フロー中のラジカル濃度を交互に切り替えることができる。別の実施形態において、前駆体のプラズマキャビティーへの供給を、交互に切り替える(alternately switch)ことができる。好ましくは、プラズマキャビティー162から反応スペース164への連続的なフローが存在する。ガス(即ち、不活性ガスと交替されるラジカル)の連続的なフローは、下の反応スペース164における第一前駆体がプラズマキャビティー162を汚染することを妨げるので、好ましい。これは、アーキング(arcing)なしに、導電性化合物の堆積を促進させる。また、好ましくは、プラズマキャビティー162と反応スペース164との間で異なる正圧(プラズマキャビティー162における圧力の方が大きい)が存在する。このようなアレンジメントは、また、上部チャンバー175において、プラズマイグニションを促進する。
図2Cは、また、プラズマキャビティーを利用するALDまたはALEリアクター190の別の改変実施形態を示す。図2Bのものと類似する部品について言及するために、同様の数字を使用する。この実施形態において、プラズマキャビティー162中におけるプラズマは、容量的に結合される(capacitively coupled)。このため、示される実施形態は、シャワーヘッドプレート180上のプラズマキャビティー162に配置されるキャパシタ電極192を含む。このアレンジメントは、電極が反応チャンバー163の内部に位置していること以外は、図2Aに示されるアレンジメントに類似している。
一般的なプロセスフロー
図3については、半導体基板上でトランジスタゲートスタックを形成するための一般的なプロセスの順序を示す。先ず、基板がクリーニングされ70、次いで、ゲート誘電体が堆積される72。以下により詳細に記載される好ましい実施形態に従って、ゲート誘電体は、望ましくは、上部及び下部インターフェイスの両方で高い窒素含量を有し、且つその間のバルクで低い窒素含量を有する高k材料を含む。ゲート誘電体堆積72に続いて、ゲート電極が堆積され74、ゲートがパターニングされ、そしてエッチングされる76(例えば、慣例的なフォトリソグラフィープロセスによる)。いくつかのアレンジメントにおいて、基板は、パターニングの後で、集積回路を完成させるための連続的プロセッシング78の前に、ソース/ドレイン再酸化にかけられる。
ここでは、図4−8について、上記のプロセスの結果を示す。先ず、図4については、半導体基板100が、ワークピース(workpiece)の上又は中に提供される。半導体基板100は、代表的に、エピタキシャルシリコン層又は単結晶の上部表面(固有にドープされたシリコンウェハ)を備えるが、当業者は、他の半導体材料(例えば、III−V材料)が代用され得ることを理解するであろう。
示されるプロセスの前に、先ず、基板をクリーニングし、半導体構造上の汚れ(contaminants)及び自然と生じる又は自然のままの酸化物を取り除く。慣例的に、ゲート酸化物の成長前のウェハクリーニングは、プロセスチャンバーへのウェハの装填(loading)の前に、ex situで行われる。例えば、ウェハは、SCI/HFウェットエッチ浴中でクリーニングされる。或いは、クラスターツール内の周辺モジュールにおいて、統合された(integrated)HF及び酢酸気相クリーニングが行われ、移送時間及び再汚染又は再酸化の機会を低減させ得る。いくらかの適用については、SCI工程により残されたクリーニング酸化物が取り除かれず、その代わりに、最初の酸化物層として使用される。別の可能性において、チャンバーの中で、水素ベーク(hydrogen bake)工程が行われ、自然酸化物が昇華され得る(sublimate)。少量のHCl蒸気をこの工程へ添加し、水素ベーク中の金属汚染物質(metal contaminants)等をクリーニングするのを助ける。別のアレンジメントにおいては、なお、例えば、水素ガスの代わりにHラジカルを用いることによって、プラズマ産物がin situにおけるクリーニングを助けるか又は行い得る。
ex situにおけるクリーニングの後か、又は、in situクリーニングの前に、ウェハ又は他の基板をプロセスチャンバー上へ装填する。自然酸化物のクリーニングは、水素終端表面(hydrogen−terminated surface)を残す傾向にあり、これは、好都合なことに、クリーンルームの環境又は他の酸化物のソースへの暴露と同時に起こる、即時に再酸化を妨げる。このような水素終端は、更なるプロセスの前に、取り除かれる必要があるかも知れない。
ここで、図5を参照すると、高k誘電体層110は、基板100上で形成される。高k誘電体層110を形成するための好ましい方法は、以下により詳細に記載される。
ここで、図6−7については、シード又はウェッティング層(seed or wetting layer)115は、必要に応じて、直接、ゲート誘電体110のクリーニングされた表面、続いて、シリコン含有シード層115上のバルクシリコン含有層120へ堆積される。シード層があってもなくても、堆積は、好ましくは、図1の好ましい単一ウェハCVDリアクター(商標名EpsilonTMの下で、 ASM America of Phoenix, AZより入手可能である)の内部で起こる。もし使用するのであれば、ウェッティング層115は、一般的に、上にある(overlying)バルク層120から区別することができず、それゆえ、典型的に最終構造において外見上明らかではない(図8)。
ゲート電極115、120は、好ましくは、シリコンを含み、そしてCVD堆積される。例えば、ゲート電極は、ドープされている又はドープされていない、CVDポリシリコン、又はシリコンゲルマニウム合金(好ましくは、Si1-xGex形態を有する)を含む。ゲート電極形成は、代表的に、図6−7に示されるような2つのステージ:シードフェーズ及びバルクフェーズを含む。シリコンシード又はウェッティング層115は、SiGeの直接的な堆積に関する核形成を促進させるのに役立つ。もし含まれるのであれば、下にある高kの化学的還元を最小限にするために、シードフェーズにおいて、条件をアレンジする。示される高k材料は、HfO2又はZrO2を含む(これは、従来のポリシリコン又はポリSiGe堆積の間、特に、還元され易いことが見出されている。低還元シード層堆積(low reduction seed layer deposition)は、米国仮特許出願第60/279,256(出願日は2001年3月27日、発明の名称はINTEGRATION OF High K GATE DIELECTRICである)に記載される(この開示は、本明細書中で参考として援用される)。条件は、先の工程のものと比べて堆積率が高まるよう、バルク層においてアレンジされ得る。
しかしながら、下記に示されるように、好ましい高k誘電体110は、上部インターフェイスで比較的高い窒素含有率を有する。従って、高k誘電体の還元は、特別な低還元シードプロセッシングを避けるために十分に弱められ得る。さらに、上部インターフェイスにおける高窒素含有率は、また、続くゲート電極(例えば、Si、SiGe、及び特にin situドープされたSi及びSiGe)の核形成を改善し得る。従って、シードフェーズを省くことができる。
ゲートスタックを完成させたら、集積回路を完成させるための更なるプロセッシングが続く。例えば、ゲートスタックは、代表的に、誘電体及びスペーサーエッチのブランケット堆積(blanket deposition)によって絶縁される。次いで、トランジスタアクティブ領域(transistor active areas)は、ドープされ、パターニングされた電極のいずれかの側でソース及びドレイン領域(drain regions)を形成し、そして、ワイヤリング又は「バックエンド(back−end)」プロセスにより回路を完成される。
図8は、前述ように層をパターニング及びエッチングした後に形成されるトランジスタゲートを示す。特に、半導体基板200は、その上に形成されるトランジスタゲートスタック210と共に示される。示される実施形態において、基板200は、単結晶シリコンウェハの上部を含むが、当業者は、基板が他の半導体材料も含み得ることを理解するであろう。ゲートスタック210は、ポリシリコン又はポリSiGeゲート電極層220を含む。サイドウォールスペーサー230及び絶縁層240は、通常の様式で電極220を保護し且つ隔離する。また、シリコン含有ゲート電極層220上で、より高い伝導性のストラッピング層(conductive trapping layer)250(代表的には、金属を含む)が示される。ストラップ250は、ロジック回路のためのゲートを接続する、ウェハを横切るトランジスタゲートの中での迅速なシグナル伝達を促進させる。
以下に記載する典型的プロセスによって形成されるゲート誘電体260は、ゲート電極220を基板200から引き離す。上記の背景技術のセクションにおいて記載したように、ゲート誘電体260は、より高密度且つより迅速な回路を得るために重要な特性である。
集積回路トランジスタは、全てが図8のものに類似するとは限らない様々な様態をとり得る。しかしながら、好ましい実施形態の傾斜誘電体層は、種々のトランジスタ型(例えば、ヘテロ接合BiCMOSトランジスタ)におけるゲート誘電体層、並びに、集積回路内で他の機能を有する種々の他の超薄型誘電体層(例えば、キャパシタ誘電体、トンネリング誘電体等)への適用を有するであろう。
好ましい高k誘電体
背景技術のセクションにおいて記載したように、高k材料は、一般的に、約7より大きいk値の金属酸化物(酸化アルミニウム(Al23)、酸化ジルコニウム(ZrO2)、酸化ハフニウム(HfO2)、酸化タンタル(Ta25)、バリウムストロンチウムチタネート(BST)、ストロンチウムビスマスタンタレート(SBT)、及び酸化ランタニドを含む)を含む。最後に列挙する誘電体としては、スカンジウム (Sc)、イットリウム(Y)、ランタン(La)、セリウム(Ce)、プラセオジミウム(Pr)、ネオジム(Nd)、サマリウム(Sm)、ユーロピウム(Eu)、ガドリニウム(Gd)、テルビウム(Tb)、ジスプロシウム(Dy)、ホルミウム(Ho)、エルビウム(Er)、ツリウム(Tm)、イッテルビウム(Yb)及びルテチウム(Lu)のように物理的に安定した「希土類」元素の酸化物が挙げられる。好ましい実施形態の高k材料は、上記の材料のいずれかを含み得る。最も好ましくは、示される実施形態の誘電体層へ取り込まれる高k材料は、第4族金属の酸化物、特に酸化ジルコニウム(ZrO2)酸化ハフニウム(HfO2)を含み、そして約10より大きい比誘電率を有する。
好ましい高k誘電体層は、層の厚み全体に高k材料を取り込む(incorporate)、さらに、制御された量及び分布の不純物(特に、窒素)を取り込む。好ましくは、この不純物は、誘電材料の上部および下部インターフェイスにおいてより大きな程度で取り込まれ、また、堆積プロセスの間、取り込まれている。このように、誘電体の厚みを通して、2つの異なる窒素ピークが提供される。
図9は、好ましい高k誘電体の厚みを通る窒素含量を示す理論的なオージェプロフィールを示す。グラフの左側は、誘電体と上に重なっているゲート電極との間の上部又は頂部インターフェイスを表し、Xの不純物濃度ピークを表す。グラフの右側は、誘電体と半導体基板表面との間の下部又は底部インターフェイスを表し、Yの不純物濃度ピークを表す。2つの異なる不純物濃度ピークの間の層のバルク部分は、一般的にZで表される最小不純物濃度レベルを有する。
好ましくは、誘電体上部インターフェイスは、約1%〜10%原子比の窒素を含み、好ましくは、約5Å〜20Å、より好ましくは約5Å〜10Åの厚みである。代表的には、金属酸化物におけるより高い窒素含有率が、より高いアモルファス含有率をもたらす。好都合なことに、この頂部インターフェイスは、上に重なるゲート電極からの不純物(例えば、ホウ素のような電気的ドーパント)の拡散から高k材料のバルクを保護し、そして、上に重なるゲート電極と高k材料との間のケイ化反応(silicidation reactions)を妨げるのに役立ち、それにより、特に、連続的なソース/ドレイン再酸化の間、高k材料の熱安定性が維持される。さらに、上部インターフェイスでの窒素含量は、望ましくは、上に重なっているゲート電極の堆積の間、核形成(特に、SiGe)を促進し、一方、このような堆積の間、還元剤(例えば、水素)の拡散を阻止する。還元剤は、不都合なことに、高k誘電体を金属伝導体へ変換し、そして誘電体を通る電気リーク路(electric leakage paths)を生じ得る。
誘電体のバルクは、好ましくは、約0.1%(原子比)より少ない窒素、更に好ましくは、約0.01%より少ない窒素を含み、そして示されるゲート誘電体ファンクションは、約20Å〜30Åの間の厚みである。好都合なことに、示される高k材料のバルク中の低い窒素含量は、全体的な膜の質を高める。バルク中の実質的に純粋な金属酸化物(例えば、ZrO2又はHfO2)は、さもなければ、電荷捕獲部位(charge trap sites)を形成することができるダングリングボンド(dangling bonds)がアンダーコーディネート(undercoordinate)するのを妨げる。
下部インターフェイスは、好ましくは、約0.1%(原子比)より多くの窒素、更に好ましくは約0.1%〜1%の窒素を含む。好ましくは、この含有率を含む下部インターフェイスは、約5Å〜10Å、より好ましくは、約5Åに近い厚みを有する。この下部インターフェイスでの窒素含有率は、好都合なことに、基板でのインターフェイス信頼性を高め、そして、高k堆積及び続くプロセッシングの間の基板の酸化を妨げる。このような酸化は、不都合なことに、ゲート誘電体の有効な誘電率(k値)を低下させる。しかしながら、窒素レベルは、上部インターフェイスのものよりも低く、基板インターフェイスでの窒素によって誘導され得るインターフェイス捕獲部位の出現を低減させる。好ましくは、上部インターフェイスの窒素含有率は、下部インターフェイスの窒素含有率の約5倍より大きく、より好ましくは、約1オーダーの規模でより大きい。
上の記載は、特に、トランジスタゲート誘電体の適用に適しており、ここで、窒素含有高k層は、好ましくは、約20Å〜60Åの厚みを有する。この記載された高k誘電体は、また、集積回路において他の適用を有している。例えば、ダイナミックランダムアクセスメモリー(DRAM)の適用のためには、超薄型窒素含有インターフェイスを有する厚い高k層(150Å〜200Åの厚み)が使用され得る。好都合なことに、上部窒素含有インターフェイスは、上記のように、続くプロセッシングの間、高k材料を安定化することができる。下部電極材料(lower electrode materials)に依存して、下部窒素含有インターフェイスは、DRAMキャパシタ誘電体について省かれ得る。
N含有インターフェイスを有する高k層を形成するための好ましいプロセス
高k材料は、上に記載されたように、任意の適切なプロセス(PVD、CVD、MOCVD、ALDなどを含む)によって堆積される。好ましい実施形態において。窒素の取り込みは、好ましくは、堆積の間制御される様式で達成される。
慣例的に、シリコン酸化物ゲート誘電体膜は、下にあるシリコン基板の熱酸化によって作製される。窒素を取り込むため、窒素含有ガスが主要な酸素の流れへ添加され得る、及び/又は窒素含有ガス又は窒素インプランテーションを用いた堆積後処理が行われ得る。このような方法は、窒素を酸化物材料へ取り込み、シリコンオキシナイトライド(SiOxy)を形成させるか、又は、酸化物上でSi34層を形成させ得る。他のアレンジメントにおいて、酸化物(堆積されようと、熱成長されようと)は、後に、拡散による窒素取り込みのために処理を施される。なお別のアレンジメントにおいて、窒素取り込みは、比較的高温で行われる従来の熱CVDの間、変化し、そして、相互拡散が必然的に起こる。本発明者らが知っている先行技術のいずれにおいても、特に、ゲート誘電体材料が非常に薄い(例えば、7nmより小さい)現在及び次世代の集積回路デバイスにとって、膜中の窒素含量を制御することは困難である。このような超薄型誘電体について、ゲート電極へ窒素を取り込むための慣例的な方法は、誘電体のバルクにおいて高い窒素レベルをもたらすことなく、両インターフェイスについての2つの異なる窒素ピークを提供するよう効率的に制御することができない。
以下に記載する2つの実施形態がある;遠隔生成させた窒素ラジカルを通じて窒素の取り込みを変化させたCVD、及び複数サイクルの構成成分を変化させることを通じて窒素の取り込みを変化させたALD。ALDは、また、必要に応じて、CVDの実施形態に関して記載される制御様式で、窒素ラジカルを使用し得る。好都合なことに、両方の実施形態とも、低温堆積(これは、所望される窒素プロフィールの維持を助ける)からの恩恵を受ける。堆積温度は、好ましくは、約500℃より低く、そして更に好ましくは、約400℃より低い。
窒素含有インターフェイスを有する高k材料のCVD堆積
本発明の1つの局面に従って、高k材料は、化学気相堆積(CVD)の間の堆積プロセスを通じて、窒素含有率を変動させながら、堆積される。窒素は、図1について記載されるように、遠隔プラズマソース60を経由して供給される。層へ取り込まれる窒素の量は、それが堆積されるにつれて、遠隔ソースによって生成される窒素ラジカルの供給量を少なくとも部分的に変化させることによって、変えられる。
この概念は、数多くの堆積技術のいずれかへの適用を有するであろうことが理解されるであろう。好ましくは、高k金属酸化物は、金属−有機前駆体を用いるCVDの型(form)によって堆積される(MOCVD)。好都合なことに、有機金属ソースガスは、比較的低温で分解する傾向にある。好ましい材料、気相、ハフニウム又はジルコニウムの有機ソースが、酸素の気相供給と共に、同時に供給される。窒素は、遠隔プラズマソースから窒素ラジカルの形態で供給され、また、好都合なことに、低温反応を可能にする。
好ましくは、窒素ラジカル又は励起種の酸素に対する比率は、プロセスの間変動し、初期ステージ(下部インターフェイスに相当する)においては高く、中間ステージ(誘電体バルクに相当する)の間はゼロまで低下し、そして最終ステージ(上部インターフェイスに相当する)では再び高くなる。それによって、堆積された高k材料中の窒素含有率は、制御された様式で変化し、2つの異なる窒素ピークを可能にする(図9を参照のこと)。
種々の方法における窒素の相対量は、変化させることができる。第一のアレンジメントにおいて、遠隔プラズマ発生器を通る窒素ソースガス(例えば、アンモニア、2原子窒素、又はヒドラジン)の流れは、堆積の最終ステージの間、最も高く、堆積の初期ステージの間、中間レベルであり、そして堆積の中間ステージの間、最も低い(例えばゼロ)よう、変化する。
第二のアレンジメントにおいて、遠隔プラズマ発生器を通すキャリアガスの流れを変化させる。当業者は、このような変化が、発生した窒素ラジカルの量に及ぼす非直線的影響を有することを理解するであろう。高キャリアフローはより高密度なプラズマ発生、及びそれゆえに、あるポイントまで高い窒素ラジカル濃度を支持するが、CVDプロセスの間のより多くの全体的なガスフローに起因した希釈(dilution)が堆積膜への窒素の取り込みを低減させ得る。
第三のアレンジメントにおいて、酸素ソース及び窒素ソースガスの両方が、遠隔プラズマ発生器を通じて提供される。遠隔プラズマ発生器へ使用される電力を一定の割合で変化させること(ramping the power)によって、解離の相対速度が変化し、それゆえ、Oラジカルと比較した場合のNラジカルの相対的生成が変化し得る。例えば、窒素ソースとしてN2及び酸素ソースとしてO2を用いて、電力を増加させることは、ある点まで、両方のソースの解離を増大させる。電力が更に増大するにつれて、O2解離の速度が安定し(level off)、一方N2の分解は、電力の増加に伴って増大する。或いは、もし酸素ソースが別々に供給され、そして窒素ソース及びキャリーガスのみが遠隔プラズマ発生器に供給されれば、遠隔プラズマ発生器へ加えられる電力を変化させることにより、直接的様式でCVDプロセスへ供給される窒素励起種の量が変化するだろう。1つの例において、遠隔プラズマプロセッサーへ使用されるマイクロ波パワーを、約800W〜2kWで変動させ得る。
窒素含有インターフェイスを有する高k材料のALD堆積
別の好ましい実施形態において、高k材料は、原子層堆積(ALD)プロセスによって堆積される。ALDは、化学的に自己制御式プロセスであり、それにより、反応前駆体の交互パルスが基板を飽和し(saturate)、そしてパルスごとに材料の1以下の単層を残す。1サイクル当たり少なくとも1つのパルスが、同パルスのガス相反応物とは無反応である表面終端に化学吸着種を残すので、前駆体は自己飽和反応(self−saturating reaction)を確実に行うよう選択される。このような末端は、ソース化学材料の「テール(tails)」又はリガンド(有機物テール又はハロゲン化物テールのような)によって残される。その後の異なる反応物のパルスは、先のパルスの化学吸着された層上へ残されたテールと反応し、続く堆積を可能にする。このように、交互パルスの各サイクルは、所望の材料の約1以下の分子層を残す。ALD型プロセスの原理は、T. Suntola, e.g. in the Handbook of Crystal Growth 3, Thin Films and Epitaxy, Part B: Growth Mechanisms and Dynamics, Chapter 14, Atomic Layer Epitaxy, pp. 601-663, Elsevier Science B.V. 1994に示され、この開示は、本書において参考として援用される。1つのアレンジメントにおいて、堆積は、ALDプロセスのためにデザインされるPulsarTM 2000 reactor (ASM Microchemistry of Espoo, Finlandより入手可能である)において行われる。別のアレンジメントにおいて、プラズマ電力の上部チャンバーへの使用を伴って又は伴わず、図2A−2CのALDツールが使用される。
ALDは、単層ごとに、薄膜の形成を促進する。実際には、1サイクル当たり1より少ない単層を作製する嵩高いソース化学分子の立体障害に起因して、単層より小さいスケールの制御が存在する。原子的に薄い単層を層状に重ねる能力は、下部表面(例えば、ゲート誘電体/Si基板インターフェイス)から、上部表面(例えば、ゲート誘電体/ゲート誘電体インターフェイス)までのより正確な濃度勾配の形成を可能にする。
従って、各個別の単層(又は部分的単層)は、堆積される各単層に所望される化学材料を選択的に導入することによって仕立てられる(tailored)。例えば、ALDによって、導入されるガスの特定の組み合わせが、堆積する化学物質自体の性質により、プロセス自己終結まで、ワークピースと反応し、その上へ堆積するか又は吸着する。暴露の長さに関わらず、プロセスガスは、更に堆積に貢献することはない。次の単層を堆積させるために、先に形成された単層と反応するか、又はその上に吸着するように、異なる化学物質の組み合わせをプロセスチャンバーへ導入する。所望ならば、第二の化学物質又は続く化学物質が、また、自己制御式方法において、別の単層を形成する。これらの自己制御式単層は、適切な厚さの膜を形成するのに所望される回数だけ交替される。
この方法の性質そのものによって、各個別のサイクルについて、化学物質を代えることが可能である。従って、生じる薄膜の組成は、例えば、各サイクルにおいて、全ての第二サイクルにおいて、又は任意の他の所望の工程において、徐々に変化させることができる。さらに、ALDは、非常低い温度で行うことが可能であるので、従来の熱酸化及び従来のCVDプロセスと比べて、プロセス中の拡散が効率的に制限される。酸化物の厚みとそれに対応する層の数との比を例示する目的のために、60Å酸化ジルコニウムの薄膜は、例えば、約100サイクルにおいて、以下に記載されるZrCl4及びH2Oを交替させるALDプロセスによって形成される。各個別のサイクルによって作製される組成を仕立てるための多くの機会が存在し、そして、このような組成の仕立ての結果は、プロセスの低温に起因して、堆積された位置から拡散しない傾向にある。このように、このような非常に薄い層であっても、最後の単層へ取り込まれたものと比べて、異なる不純物濃度を最初の単層へ取り込むことが出来るように組成を変化させることができる。
傾斜窒素含量を有するシリコン酸化物誘電体層のALDは、米国特許第09/800,757号(出願日:2001年3月6日、発明の名称:GRADED THIN FILMS)。に開示される(これは、本書において、参照として援用される)。
好ましい実施形態は、堆積の選択されたステージの間、窒素を選択的に取り込むことによって高k金属酸化物のALD堆積を改変する。パルスごとに自己制御される部分的又は完全な単層の化学吸着に適した金属ソースガスは、オキシダントパルスと交替される。代表的なオキシダントソースガスとしては、酸素、水、過酸化水素、オゾン、アルコール(例えば、メタノール、エタノール、イソプロパノール)などである。いくつかのアレンジメントにおいて(本書では、特に記載しない)、プロセスは更なるパルス(より複雑な金属酸化物のための追加の金属ソースパルス、並びに、パルス間のリガンドをはがすためのゲッタリングパルスのような)を含む。図10及び11について以下に記載する窒素を取り込むために使用される2つの改変を記載する前に、先ず、ZrCl4の堆積のための基本的なALDプロセスを記載する。
ZrO2を堆積させるための代表的なALDプロセスにおいて、反応スペースは、メカニカル真空ポンプを用いて真空に排気される。排気の後、99.9999%の純度を有する窒素ガスを流し、反応スペースの圧力を約5−10mbar(絶対)に調整した。次いで、反応スペースを約300℃で安定させた。ZrCl4ソースを約180℃〜210℃、更に好ましくは、約200℃に保つ。
ALDプロセスの各サイクルは、複数のパルスを含む。ZrCl4蒸気が、反応チャンバーへ導入され、0.1s間ウェハ表面へ暴露される。これは、パルスA又は「金属パルス」と称される。反応チャンバーは、1.0s間に窒素ガスでパージされ、過剰なZrCl4及び副産物が反応チャンバーから取り除かれる。これは、パージAと呼ばれる。次いで、水蒸気を、反応チャンバーへ導入し、そして0.3s間ウェハ表面へ暴露する。これは、パルスB又は「オキシダントパルス」と称される。残りのH2O及び反応副産物は、反応チャンバーを3.0s間パージすることによって、取り除かれる。これは、パージBと称される。各反応フェーズの間、反応物は、所定のほかのパラメーターにとって十分な量で供給され、表面を飽和状態にする。
この代表的な高k堆積サイクルは、表1において要約される。
Figure 0004566559
前述の化学物質を用いた場合の平均堆積速度は、ZrO2の厚さが約30Åになるような、300℃にて、約0.59Å/サイクルである。同様のプロセス条件において、ZrCl4の代わりにHfCl4を用いて、HfO2が作製されるであろう。
より一般的には、プロセスの間の温度は、膜中の塩素の許容可能なレベルに依存して、好ましくは約250℃〜500℃、より好ましくは、約300℃〜350℃である。アモルファスZrO2又はHfO2層については、温度は、より好ましくは約200℃〜250℃の範囲の最低温度であり、最も好ましくは約225℃である。結晶膜(crystalline film)については、温度は、より好ましくはこの範囲の上限であり、約250℃〜500℃、最も好ましくは300℃〜350℃である。しかしながら、当業者に理解されるように、アモルファス及び結晶組成の混合物は、これらの2つの形態(regimes)の境界において結果をもたらす。示されるプロセスは、約300℃〜350℃の温度を利用し、主として、結晶ZrO2及びHfO2膜を製造する。より一般的には、好ましくは、金属パルスAは約0.05s〜1.0s間で行われ、パージAは約0.5s〜10.0s、酸化パルスBは約0.05s〜0.5s及びパージBは約0.5s〜10.0sである。
当業者は、一般的に、特にパルス時間及び全てのパラメーターを、ALD反応が起こり得る比較的大きなウィンドウ内で変化させることが可能であることを理解するであろう。ALDにおいて自己制御式反応を実際に達成させるための厳しい制限の一つは、温度が、好ましくは、ソース化学物質が凝縮する温度より高いが、ソース化学物質が熱分解される温度よりも低く、保たれていることである。しかしながら、CVDと異なり、堆積速度は、この比較的大きい温度ウィンドウ内のどこにおいても一定のままであり、その結果基板を横切る厳しい温度均一性はコンフォーマルな堆積(conformal deposition)を達成するために必要とされない。
表1の例に従って、金属フェーズにおいて形成された金属単層は、好ましい条件下で過剰なZrCl4と容易に反応しない塩素を用いて、自己終結される。しかしながら、好ましい酸素ソースガスは、先に吸着された塩化ジルコニウムコンプレックスの供給によって制限されるリガンド交換反応(ligand−exchange reaction)における酸素フェーズの間、クロライド末端化された(chloride−terminated)表面と反応し、又はその上で吸着する。さらに、酸化は、飽和フェーズにおいて過剰なオキシダントと更に反応しないヒドロキシル及び酸素ブリッジ末端(bridge termination)を残す。
好ましくは、約20Å〜60ÅのZrO2を成長させるよう、十分なサイクルが行われる。更に好ましくは、約20Å〜40Åに成長するよう、十分なサイクルが行われる。純粋なジルコニウム酸化物の比誘電率は、約18〜24の範囲であり得る。
好ましい実施形態に従って、不純物ソースガスが、また、誘電体の機能的質を高めるために選択された交替プロセスにおいて、少なくとも1つのサイクルへ提供される。不純物は、好ましくは、窒素を含み、そして不純物ソースガスは、好ましくは、交替プロセスへ添加されるアンモニア(NH3)又はヒドラジン(N24)を含む。アンモニア及びヒドラジンの両方とも、かなりの反応性ガスであり、低温ALDプロセッシングに適したものにする。
最初のアレンジメント(図10)において、窒素は、選択されたサイクルのオキシダントパルスの間、取り込まれる。第二のアレンジメント(図11)において、窒素は、それ自体別々のパルスにおいて選択されたサイクルの間、取り込まれる。どちらのアレンジメントにおいても、異なる量の窒素が、堆積プロセスの異なるポイントで取り込まれ、極薄層の厚みであっても、窒素含有率に関して高い程度の制御を可能にすることができ、一方では、低い堆積温度が相互拡散を最小限にし、且つ所望される窒素プロフィールの維持を確実にする。
図10を参照すると、第一のアレンジメントは、金属の約1以下の単層の吸着と、ALD金属酸化物プロセスにおける先に吸着された単層の酸化とを、交互に行うことを包含する。酸化ステージの間、窒素は、選択的に取り込まれ得る。本質的に、窒素及び酸素ソースガスを混合することによって、窒素に対する酸素の所望された比率を有するオキシナイトライド膜が成長され得る。好ましい実施形態において、サイクルプロセスの間の反応物比を変化させ、各サイクルにおいて形成される組成を仕立てることができる。最も好ましくは、堆積は、金属オキシナイトライド堆積で始まり、実質的に純粋な金属酸化物へ移り、そして金属オキシナイトライドで終わる。
示される実施形態において、高反応性窒素ソースガス(例えば、アンモニア又はヒドラジン)は、酸素フェーズへ添加される。異なる量のNH3が、プロセスを通じて異なるオキシダントソースガスパルスへ添加される。このように、所望の量の窒素が金属酸化物の各単層へ取り込まれ、そして、金属オキシナイトライド層は、結果的に、仕立てられた窒素含有率プロフィールをもたらす。
本発明の開示を考慮すれば、当業者は、アンモニア及び金属コンプレックスの間の反応が、オキシダント及び金属コンプレックスとの間の反応に比べて、異なる熱力学な有利さを有するであろうことを容易に理解するであろう。従って、オキシダントに対するアンモニアの比率は、結果生じる金属オキシナイトライドにおける酸素に対する窒素の比率と必ずしも等しくない。当業者は、所望されるレベルの窒素取り込みについて、適当なパラメーターを決定するためのルーチンな実験を通じて、熱力学的競合を容易に説明することが可能であろう。特に、酸素活性種と併せて、遠隔プラズマ発生器を介して窒素活性種を提供することは、熱力学的に競合する単一パルス反応(single−pulse reaction)における窒素ソースに対する酸素の比率を変化させる効果を最大限にすることができる。
図10は、1つの実施形態に従うガスフローダイアグラムであり、代表的な自己制御堆積順序における4つのサイクル301a−301dを示す。4つのサイクルは、例えば、好ましい高k誘電体の上部インターフェイスを形成する最後の4つのサイクルを表す。示される順序は、キャリアガス300の一定のフローを含む。示されるように、金属ソースガスの最初のパルス又はスパイク302aが提供され、最初の自己終結金属コンプレックス単層が形成される。最初のパージ工程303の後、金属ソースガスがチャンバーから取り除かれるまでキャリアガスを流し続け、最初のオキシダントソースガスパルス又はスパイク304aが提供される。第二パージ303の後、パージ工程303により隔てられる交互パルスにおいて、第二金属ソースガスパルス302b、次いで、第二オキシダントソースガス304b、第三金属ソースガスパルス302c、第三オキシダントソースガスパルス304c等が提供される。
示されるように、最初のサイクル301a(金属酸化物の部分的な単層を形成する)の後のいくつかの点において、好ましくはオキシダントソースガスパルス304bの間に、最初の不純物ソースガスパルス306bが提供される。望ましくは、比較的低い比率の不純物ソースガス(好ましくは、NH3を含む)を、この最初のパルス306bの間に供給する。続くオキシダントソースガスパルス304c、304dなどの間、不純物ソースガスの次第に大きくなる流れが、パルス306c、306dなどにおいて提供される。不純物ソースガスの次第に大きくなる流れが使用される場合、オーバーヘッドシャワーヘッドのような手段により、反応物を基板表面に垂直に供給することは有利であり得る。2つのソース化学物質の競合吸着の場合、基板表面の全てが同時にガス混合物に暴露されるのが好ましい。図2A―2Cのシャワーヘッド構造(これは、前駆体チャネルの分離により、ALDのために配置されたもの)は、上部チャンバーにおけるプラズマの活性化を伴っても伴わなくても、このような同時暴露に特に有利である。このように、基板の入口側から排気側への濃度勾配が避けられ得る。
図10は概略のみであり、スケールまでは描かれていない。更に、好ましいプロセス条件は、実際に、複数のサイクルの後に形成される完全な単層をもたらす。理論的に、反応物は、ワークピースの暴露される層上の使用できる各部位において化学吸着し、吸着種の物理的サイズ(及び、特に、末端化するリガンドとの)は、一般的に、単層のフラクションへの各サイクルとのカバレージを制限する。示される実施形態において、サイクルごとに平均約0.59ÅのZrO2が形成され、その結果、完全な単層が幾つかのサイクル後に効率的に形成され、ここで各サイクルは、金属ソースガス及びオキシダントソースガスパルスのペアによって表される。
点線で示されるように、オキシダントソースガスパルス304a、304bなどは、また、不純物ソースガスフローが増大する過程では、材料及び望みに応じて、低減され得る。従って、生じた金属オキシナイトライド誘電体層における窒素含有率は、バルクにおける0パーセントから、上部インターフェイスにおける所望の最大レベル(好ましくは、窒素原子比で約1%〜10%窒素)まで増大され得る。
示される例は、単一金属酸化物層における窒素濃度に傾斜することを包含するが、本書における開示を考慮すると、当業者は、同様の原理が、ALDによる他のゲート誘電材料(例えば、2成分及び3成分金属酸化物(例えば、BST、SBT)における傾斜プロフィールを形成することに適用され得るということを容易に理解するであろう。また、図10に示されないが、更なるゲッタリングパルスが提供され、有害なハライド(例えば、Cl)及びハライド化合物(例えば、アンモニウムハライド、ハロゲン化水素)と反応し、揮発させ得る。このようなゲッタリングパルスは、ALDプロセスの間、好ましくは各サイクルの間、基板へのパルスにおいて、強力な還元剤(トリエチルボロン(TEB)のような)を供給することを包含し得る。
図11は、オキシダントフェーズの後で、別々の窒素ソースガスパルス(例えば、それぞれ、アンモニアパルス及びパージパルスを含む)に窒素が添加されるアレンジメントを示す。例えば、好ましい上部インターフェイスを形成する場合、オキシダントソースガスパルスに加え、窒素ソースガスパルスは、堆積の末端ステージの間、例えば10回サイクルに1回、置換されるか、または使用され得る。窒素含量を傾斜するために、(例えば、金属ソースパルスの頻度と比例して)窒素ソースガスパルスの頻度は、最大の所望の窒素含有率が達成するまで増大され得る。好ましい下部インターフェイスを形成する際、最初に高頻度の窒素ソースパルスが使用され、続いて、窒素パルス頻度が低減され、続く窒素フリーなバルク金属酸化物を達成することができる。
このように、金属酸化物を堆積させる基本的な交互プロセス(例えば、金属及びオキシダントパルスを交互させることによる)は最初、比較的低い窒素レベル(例えば、約0.1%〜1%の窒素原子比)で金属オキシナイトライドの下部インターフェイスを堆積されるよう改変され;続いて、プロセスの中間部分において、実質的に純粋な(例えば、約0.1%より低い窒素)金属酸化物のALDを続け;そして、所望の誘電体の厚さに達成するまで、交互プロセスは、再び、窒素パルスの頻度を増大させ、比較的高レベルの窒素(例えば、約1%〜10%窒素)を有する金属オキシナイトライドの上部インターフェイスが作製される。
好都合なことにこのプロセスは、金属及び窒素ソースフェーズの間に示される中間還元フェーズを使用し、ハロゲン化物テールを取り除く。この中間還元フェーズは、暴露される金属に対して有害なハロゲン化水素の構築を回避し、そして、誘電体中のハロゲン化物含量を減少させる。しかしながら、他のアレンジメントにおいて、この還元フェーズが異なる順序において行われるか又は省かれ得ることが理解されるであろう。
Figure 0004566559
上記の表IIを参照しながら、所望の傾斜誘電体層を形成するための代表的なプロセスレシピを以下に記載する。4つのフェーズ(各フェーズは、示される実施形態において、反応物パルスの後のパージを含むように定義される)を記載する:
(1)金属フェーズ(例えば、ZrCl4パルス+パージ)
(2)還元フェーズ(例えば、TEBパルス+パージパルス)
(3)オキシダントフェーズ(例えば、H2Oパルス+パージパルス)
(4)窒素フェーズ(例えば、NH3パルス+パージパルス)
堆積プロセスのステージに依存して、連続的な堆積プロセスの間、これらのフェーズの比率を変化させることが行われる。示される実施形態において、最初のステージの間、例えば、基板インターフェイスにおける低窒素含有率(例えば、0.1%〜1%窒素原子比)をもたらすよう相対的に小さい頻度で、窒素フェーズ(4)とともに、フェーズ(1)−(4)が行われる。中間ステージの間、バルクにおいて実質的に純粋なZrO2を形成するために、フェーズ(1)−(3)が使用される。堆積の最終ステージの間、ゲート電極インターフェイスで高い窒素含有率(例えば、1%−10%窒素原子比)をもたらすようフェーズ(4)の相対的に大きな頻度で、フェーズ(1)−(4)が再び行われる。
堆積の全てのステージについて、還元フェーズ(2)が任意であることに留意されたい。好都合なことに、還元フェーズは、金属ハロゲン化物ソースガスによって残されるハロゲン化物のゲッタリングを可能にする。従って、この還元フェーズは、好ましくは、膜の成長においてクロライド含有率を低減させるよう使用される。還元フェーズは、使用されるとしても、全てのサイクルにおいて使用される必要はなく、もし全てのサイクルで使用されるとしても、金属フェーズにすぐに続いて使用される必要はない。
図11及び上記の表IIは、金属酸化物及び金属オキシナイトライドの傾斜層の堆積のためのALDプロセスのサイクルに関するパラメーターを示す。好ましくは、この層は、半導体基板とトランジスタゲート電極層との間のゲート誘電体として機能する。代表的なプロセスレシピにおいて、金属ソースガスは、ジルコニウムテトラクロライド(ZrCl4)を含む;キャリアガスは窒素(N2)を含む;還元剤はトリエチルボロン(TEB)を含む;オキシダントソースガスは水蒸気(H2O)を含む;及び窒素ソースガスはアンモニア(NH3)を含む。
2 3−相サイクル450を図11に示す。このような3相サイクル450は、誘電体のバルクを形成する際に最も高い頻度で、トランジスタチャネル上の下部インターフェイスを形成する際により低い頻度で、そしてゲート電極より下の上部インターフェイスを形成する際に最低頻度で、行われる。
また、1 4−相サイクル455も示される。サイクル450の3相に加え、4−相サイクルは窒素パルス及びパージを含む。このような4−相サイクル455は、誘電体のバルクの形成において最低頻度で、トランジスタチャネル上の下部インターフェイスを形成する際により高い頻度で、そして、ゲート電極より下の上部インターフェイスを形成する際に最高頻度で、行われる。
仕立てられる誘電体について、異なる不純物が使用されることは、理解されるであろう。例えば、基本的なZrO2ALDプロセスにおいて、最初の堆積の間、ジルコニウムソースガスパルスが定期的にトリメチルアルミニウム(TMA)パルスにより置換され、アルミナドープされたジルコニウム酸化物下部インターフェイスが形成され得る。TMAパルスは、バルク誘電体について二酸化ジルコニウム(ZrO2)が形成されるまで、頻度を低下ながら、提供される。代表的なプロセスにおいて、TMAは、アルミニウムソースガスとして機能し、そして、ジルコニウム酸化物ALDプロセスと同じ温度(例えば、300℃)で堆積され得る。或いは、アルミニウムソースガスは、同時且つ金属パルス(これは連続してオキシダントパルスと交互される)の間ジルコニウムソースガスの増大しながら、導入され得る。この場合、当業者は、ルーチンな実験を通じて、層における所望の材料比を得るためには、ジルコニウムソースガスに対するアルミニウムソースガスのどのような比率が使用されるべきか決定することができる。同様に、当業者は、他のゲート誘電材料もまたこの態様において作製され得ることを理解するであろう。
酸化アルミニウム及び酸化ジルコニウムの上記の例において、酸化アルミニウムは良い電気インターフェイス特性を有する良い拡散バリアとして働くが、一方酸化ジルコニウムは、誘電体のために全体的により高い比誘電率を提供する。ゲート誘電体は、再び、高アルミニウム含有率下部インターフェイスから実質的に純粋なZrO2へ、高アルミニウム含有率の上部インターフェイスへ傾斜され得る。インターフェイスにおけるアルミニウム含有率は、アモルファス(上部又は下部材料との反応に対して誘電体を安定化させる良い拡散バリア)を提供する。
上記ALDアレンジメントのいずれかにおいて、図10及び11に例示されるように、超薄(<7nm)誘電体層における不純物濃度を仕立てるために、遠隔プラズマ発生器を通して不純物が供給され得る。窒素励起種の供給は、CVD実施形態に関して上述したように変動され得る。好都合なことに、図2A−2Cのリアクターは、他の前駆体とは切り離して、特に、ALDプロセスへ励起種を供給するのに適している。
上記のプロセスにおいて、本発明の範囲を逸脱することなく、種々の省略、追加及び改変がなされ、そして全てのこのような改変及び変更が、添付の特許請求の範囲によって特定されるような本発明の範囲内に含まれることを意図されることは、当業者によって理解されるであろう。
本書において示された全ての参考文献は、参照として援用される。
図1は、例示的な単一基板気相堆積反応チャンバーの概略断面図である; 図2A−2Cは、特定の好ましい実施形態に従って、遠隔プラズマ発生器を用いて、原子層堆積を行うために特別に形作られた、単一基板気相反応チャンバーの概略断面図である; 図3は、従来のトランジスタゲートスタックを形成するための一般的な順序を示すフローチャートである; 図4は、好ましい実施形態に従って、ワークピース(workpiece)の上部表面を表す、半導体基板の一部の断面図である; 図5は、基板表面上での高kゲート誘電体層を形成した後の図4における基板を示す; 図6は、図5のゲート誘電体層上に直接堆積されたシリコン含有シード層を示す; 図6のシード層上に直接堆積されたシリコン含有バルク層を示す; 本発明の好ましい実施形態に従って構築されたトランジスタゲートスタックの概略断面図である; 図9は、厚みを通した相対的な窒素含量レベルを示す、好ましい誘電体層の理論的なオージェプロフィール(Auger profile)を表す 図10は、制御された窒素含有率を有する超薄型誘電体層を堆積させるための好ましいALD法に従う例示的なガスフローダイアグラムである 図11は、制御された窒素含有率を有する超薄誘電体層を堆積させるための別の好ましいALD法に従う例示的なガスフローダイアグラムである

Claims (11)

  1. 500℃より低い基板温度にて半導体基板上へ誘電材料を堆積させること;及び
    誘電材料の堆積の間、基板への窒素の供給量を変化させること
    を包含する、その厚みを通る窒素濃度の制御された変化を有する誘電層の形成方法であって
    ここで、窒素の供給量を変化させることは、2つの異なる窒素ピークを生成し、且つ、これら窒素ピーク同士の間のバルク材料中でより低い窒素濃度であり、
    窒素の供給量を変化させること、窒素供給の第一レベルから、窒素供給の第二レベルへ、次いで窒素供給の第三レベルへと窒素の供給量を変えることを包含し、
    第二レベルの窒素の供給量が、第一レベルの窒素の供給量及び第三レベルの窒素の供給量の各々よりも低く、
    第三レベルの窒素の供給量が第一レベルの窒素の供給量より高い誘電層の形成方法
  2. 前記誘電材料が7よりも大きい比誘電率を有する、請求項1に記載の方法。
  3. 前記誘電材料が10よりも大きい比誘電率を有する、請求項2に記載の方法。
  4. 堆積の間、前記基板温度が400℃より下に保たれる、請求項1から3のいずれかに記載の方法。
  5. 500℃より低い基板温度にて半導体基板上へ誘電材料を堆積させること;及び
    誘電材料の堆積の間、半導体基板への窒素の供給量を変化させること
    を包含する、その厚みを通る窒素濃度の制御された変化を有する誘電層の形成方法であって
    ここで、堆積させることは、複数の原子層堆積サイクルを包含し、各サイクルは:
    金属ソースガスの金属パルスを供給すること;
    過剰な金属ソースガス及び金属パルスからの副産物を取り除くこと;
    酸素ソースガスのオキシダントパルスを供給すること;
    過剰な酸素ソースガス及びオキシダントパルスからの副産物を除去すること;及び
    オキシダントパルスの間、変化する量の窒素ソースガスを供給すること、
    を包含する誘電層の形成方法
  6. 前記窒素ソースガスが、遠隔プラズマ発生器を通して供給される窒素励起種を含む、請求項5に記載の方法。
  7. 500℃より低い基板温度にて半導体基板上へ誘電材料を堆積させること;及び
    誘電材料の堆積の間、半導体基板への窒素の供給量を変化させること
    を包含する、その厚みを通る窒素濃度の制御された変化を有する誘電層の形成方法であって
    ここで、誘電材料を堆積させることは、
    半導体基板へオキシダントソースを供給するのと同時に、金属ソースを供給すること;及び
    遠隔プラズマ発生器を通して変化する量の窒素励起種を供給すること、
    を包含する誘電層の形成方法
  8. 前記金属ソースが有機金属ソースガスを含む、請求項7に記載の方法。
  9. 前記変化する量の窒素励起種を供給することが、前記遠隔プラズマ発生器を通して窒素ソースガスのフローレートを変化させることを包含する、請求項7又は8に記載の方法。
  10. 前記変化する量の窒素励起種を供給することが、前記遠隔プラズマ発生器へ供給される電力の量を変化させることを包含する、請求項7又は8に記載の方法。
  11. 前記変化する量の窒素励起種を供給することが、窒素ソースガスのフローを一定に保ちながら、前記遠隔プラズマ発生器を通して供給されるキャリアガスの量を変化させることを包含する、請求項7又は8に記載の方法。
JP2003533334A 2001-10-02 2002-09-27 誘電層の形成方法 Expired - Lifetime JP4566559B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US32683001P 2001-10-02 2001-10-02
US10/260,370 US6960537B2 (en) 2001-10-02 2002-09-26 Incorporation of nitrogen into high k dielectric film
PCT/US2002/031072 WO2003030243A1 (en) 2001-10-02 2002-09-27 Incorporation of nitrogen into high k dielectric film

Publications (3)

Publication Number Publication Date
JP2005505920A JP2005505920A (ja) 2005-02-24
JP2005505920A5 JP2005505920A5 (ja) 2006-01-05
JP4566559B2 true JP4566559B2 (ja) 2010-10-20

Family

ID=26947948

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003533334A Expired - Lifetime JP4566559B2 (ja) 2001-10-02 2002-09-27 誘電層の形成方法

Country Status (5)

Country Link
US (3) US6960537B2 (ja)
EP (1) EP1449240B1 (ja)
JP (1) JP4566559B2 (ja)
DE (1) DE60211940T2 (ja)
WO (1) WO2003030243A1 (ja)

Families Citing this family (563)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI119941B (fi) * 1999-10-15 2009-05-15 Asm Int Menetelmä nanolaminaattien valmistamiseksi
US6974766B1 (en) 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6727169B1 (en) * 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US6551929B1 (en) * 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7101795B1 (en) * 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US20020036780A1 (en) * 2000-09-27 2002-03-28 Hiroaki Nakamura Image processing apparatus
JP5068402B2 (ja) * 2000-12-28 2012-11-07 公益財団法人国際科学振興財団 誘電体膜およびその形成方法、半導体装置、不揮発性半導体メモリ装置、および半導体装置の製造方法
US9139906B2 (en) * 2001-03-06 2015-09-22 Asm America, Inc. Doping with ALD technology
US6596643B2 (en) * 2001-05-07 2003-07-22 Applied Materials, Inc. CVD TiSiN barrier for copper integration
US6849545B2 (en) * 2001-06-20 2005-02-01 Applied Materials, Inc. System and method to form a composite film stack utilizing sequential deposition techniques
US20070009658A1 (en) * 2001-07-13 2007-01-11 Yoo Jong H Pulse nucleation enhanced nucleation technique for improved step coverage and better gap fill for WCVD process
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
JP2005518088A (ja) * 2001-07-16 2005-06-16 アプライド マテリアルズ インコーポレイテッド タングステン複合膜の形成
US7098131B2 (en) * 2001-07-19 2006-08-29 Samsung Electronics Co., Ltd. Methods for forming atomic layers and thin films including tantalum nitride and devices including the same
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US6718126B2 (en) 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
TW589684B (en) * 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US7204886B2 (en) * 2002-11-14 2007-04-17 Applied Materials, Inc. Apparatus and method for hybrid chemical processing
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6773507B2 (en) * 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US6939801B2 (en) * 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
US7175713B2 (en) * 2002-01-25 2007-02-13 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6827978B2 (en) * 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US6833161B2 (en) * 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) * 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US6825134B2 (en) 2002-03-26 2004-11-30 Applied Materials, Inc. Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow
JP3588607B2 (ja) * 2002-03-29 2004-11-17 株式会社東芝 電界効果トランジスタ
US7439191B2 (en) * 2002-04-05 2008-10-21 Applied Materials, Inc. Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6720027B2 (en) * 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US7279432B2 (en) * 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US20030235961A1 (en) * 2002-04-17 2003-12-25 Applied Materials, Inc. Cyclical sequential deposition of multicomponent films
US20030215570A1 (en) * 2002-05-16 2003-11-20 Applied Materials, Inc. Deposition of silicon nitride
US20040009665A1 (en) * 2002-06-04 2004-01-15 Applied Materials, Inc. Deposition of copper films
US7041335B2 (en) * 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US7067439B2 (en) * 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
US6858547B2 (en) * 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
US20030232501A1 (en) * 2002-06-14 2003-12-18 Kher Shreyas S. Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US7066194B2 (en) * 2002-07-19 2006-06-27 Applied Materials, Inc. Valve design and configuration for fast delivery system
US6772072B2 (en) 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US6915592B2 (en) * 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
US6921702B2 (en) 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US6905737B2 (en) * 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
US7540920B2 (en) * 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
KR100460841B1 (ko) * 2002-10-22 2004-12-09 한국전자통신연구원 플라즈마 인가 원자층 증착법을 통한 질소첨가 산화물박막의 형성방법
WO2004044898A2 (en) * 2002-11-08 2004-05-27 Aviza Technology, Inc. Nitridation of high-k dielectrics
US7045406B2 (en) * 2002-12-03 2006-05-16 Asm International, N.V. Method of forming an electrode with adjusted work function
US7101813B2 (en) 2002-12-04 2006-09-05 Micron Technology Inc. Atomic layer deposited Zr-Sn-Ti-O films
US7262133B2 (en) * 2003-01-07 2007-08-28 Applied Materials, Inc. Enhancement of copper line reliability using thin ALD tan film to cap the copper line
WO2004064147A2 (en) * 2003-01-07 2004-07-29 Applied Materials, Inc. Integration of ald/cvd barriers with porous low k materials
WO2004066376A1 (ja) * 2003-01-17 2004-08-05 Fujitsu Limited 誘電体膜の形成方法
US6753248B1 (en) 2003-01-27 2004-06-22 Applied Materials, Inc. Post metal barrier/adhesion film
US20040144980A1 (en) * 2003-01-27 2004-07-29 Ahn Kie Y. Atomic layer deposition of metal oxynitride layers as gate dielectrics and semiconductor device structures utilizing metal oxynitride layers
CN100429753C (zh) * 2003-02-06 2008-10-29 东京毅力科创株式会社 等离子体处理方法、半导体基板以及等离子体处理装置
KR101105629B1 (ko) * 2003-03-21 2012-01-18 포르슝스젠트룸 율리히 게엠베하 유기금속 화학 기상 증착에 의하여 기판상에 화합물을증착하는 방법
US20040198069A1 (en) * 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
US7183186B2 (en) 2003-04-22 2007-02-27 Micro Technology, Inc. Atomic layer deposited ZrTiO4 films
US6844271B2 (en) * 2003-05-23 2005-01-18 Air Products And Chemicals, Inc. Process of CVD of Hf and Zr containing oxynitride films
KR20060079144A (ko) * 2003-06-18 2006-07-05 어플라이드 머티어리얼스, 인코포레이티드 배리어 물질의 원자층 증착
DE60311016T2 (de) * 2003-07-30 2007-08-02 Infineon Technologies Ag Dielektrischer film mit hohem k, herstellungsverfahren dafür und diesbezügliches halbleiterbauelement
FR2858333B1 (fr) * 2003-07-31 2006-12-08 Cit Alcatel Procede et dispositif pour le depot peu agressif de films dielectriques en phase vapeur assiste par plasma
KR100527048B1 (ko) * 2003-08-29 2005-11-09 주식회사 아이피에스 박막증착방법
US20050067103A1 (en) * 2003-09-26 2005-03-31 Applied Materials, Inc. Interferometer endpoint monitoring device
US7166528B2 (en) * 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
TW200526804A (en) * 2003-10-30 2005-08-16 Tokyo Electron Ltd Method of manufacturing semiconductor device, film-forming apparatus, and storage medium
US7055263B2 (en) * 2003-11-25 2006-06-06 Air Products And Chemicals, Inc. Method for cleaning deposition chambers for high dielectric constant materials
US20050109276A1 (en) * 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US7431966B2 (en) * 2003-12-09 2008-10-07 Micron Technology, Inc. Atomic layer deposition method of depositing an oxide on a substrate
DE102004005385A1 (de) * 2004-02-03 2005-10-20 Infineon Technologies Ag Verwendung von gelösten Hafniumalkoxiden bzw. Zirkoniumalkoxiden als Precursoren für Hafniumoxid- und Hafniumoxynitridschichten bzw. Zirkoniumoxid- und Zirkoniumoxynitridschichten
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7098150B2 (en) * 2004-03-05 2006-08-29 Air Liquide America L.P. Method for novel deposition of high-k MSiON dielectric films
US20050242387A1 (en) * 2004-04-29 2005-11-03 Micron Technology, Inc. Flash memory device having a graded composition, high dielectric constant gate insulator
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US20060062917A1 (en) * 2004-05-21 2006-03-23 Shankar Muthukrishnan Vapor deposition of hafnium silicate materials with tris(dimethylamino)silane
ATE444380T1 (de) * 2004-06-28 2009-10-15 Cambridge Nanotech Inc Atomlagenabscheidungssystem und -verfahren
US7241686B2 (en) * 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
US20060019032A1 (en) * 2004-07-23 2006-01-26 Yaxin Wang Low thermal budget silicon nitride formation for advance transistor fabrication
US7208412B2 (en) * 2004-08-02 2007-04-24 Micron Technology, Inc. Method of forming metal oxide and semimetal oxide
US7323400B2 (en) * 2004-08-30 2008-01-29 Micron Technology, Inc. Plasma processing, deposition and ALD methods
US7588988B2 (en) 2004-08-31 2009-09-15 Micron Technology, Inc. Method of forming apparatus having oxide films formed using atomic layer deposition
US20060043463A1 (en) * 2004-09-01 2006-03-02 Taiwan Semiconductor Manufacturing Company, Ltd. Floating gate having enhanced charge retention
DE102004044667A1 (de) * 2004-09-15 2006-03-16 Infineon Technologies Ag Halbleiterbauelement sowie zugehöriges Herstellungsverfahren
JP4579637B2 (ja) 2004-10-01 2010-11-10 東京エレクトロン株式会社 半導体記憶装置及びその製造方法
US20060084283A1 (en) * 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US7682940B2 (en) * 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7312128B2 (en) * 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
US7560352B2 (en) * 2004-12-01 2009-07-14 Applied Materials, Inc. Selective deposition
US7429402B2 (en) * 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US7235501B2 (en) 2004-12-13 2007-06-26 Micron Technology, Inc. Lanthanum hafnium oxide dielectrics
WO2006078666A2 (en) 2005-01-18 2006-07-27 Asm America, Inc. Reaction system for growing a thin film
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
US7214630B1 (en) * 2005-05-06 2007-05-08 Novellus Systems, Inc. PMOS transistor with compressive dielectric capping layer
US7704821B2 (en) * 2005-06-07 2010-04-27 Freescale Semiconductor, Inc. In-situ nitridation of high-k dielectrics
KR100648859B1 (ko) * 2005-06-07 2006-11-24 주식회사 하이닉스반도체 반도체 소자 제조 방법
US7651955B2 (en) * 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20060286774A1 (en) * 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7648927B2 (en) * 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7927948B2 (en) 2005-07-20 2011-04-19 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US20070049043A1 (en) * 2005-08-23 2007-03-01 Applied Materials, Inc. Nitrogen profile engineering in HI-K nitridation for device performance enhancement and reliability improvement
US7402534B2 (en) * 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US8110469B2 (en) * 2005-08-30 2012-02-07 Micron Technology, Inc. Graded dielectric layers
US7544596B2 (en) 2005-08-30 2009-06-09 Micron Technology, Inc. Atomic layer deposition of GdScO3 films as gate dielectrics
US20070082507A1 (en) * 2005-10-06 2007-04-12 Applied Materials, Inc. Method and apparatus for the low temperature deposition of doped silicon nitride films
US20070090493A1 (en) * 2005-10-11 2007-04-26 Promos Technologies Inc. Fabrication of nitrogen containing regions on silicon containing regions in integrated circuits, and integrated circuits obtained thereby
US8993055B2 (en) 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
TW200737307A (en) 2005-11-04 2007-10-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
JP4975414B2 (ja) * 2005-11-16 2012-07-11 エーエスエム インターナショナル エヌ.ヴェー. Cvd又はaldによる膜の堆積のための方法
KR100668745B1 (ko) * 2005-12-29 2007-01-29 주식회사 하이닉스반도체 반도체 소자의 트랜지스터 형성 방법
US7972974B2 (en) 2006-01-10 2011-07-05 Micron Technology, Inc. Gallium lanthanide oxide films
US7964514B2 (en) * 2006-03-02 2011-06-21 Applied Materials, Inc. Multiple nitrogen plasma treatments for thin SiON dielectrics
US7837838B2 (en) 2006-03-09 2010-11-23 Applied Materials, Inc. Method of fabricating a high dielectric constant transistor gate using a low energy plasma apparatus
US7678710B2 (en) 2006-03-09 2010-03-16 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7645710B2 (en) 2006-03-09 2010-01-12 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
JP5004484B2 (ja) * 2006-03-23 2012-08-22 日本碍子株式会社 誘電体デバイス
US7674337B2 (en) 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US8372697B2 (en) * 2006-05-08 2013-02-12 University Of South Carolina Digital oxide deposition of SiO2 layers on wafers
US7501355B2 (en) * 2006-06-29 2009-03-10 Applied Materials, Inc. Decreasing the etch rate of silicon nitride by carbon addition
JP5175285B2 (ja) * 2006-07-31 2013-04-03 アプライド マテリアルズ インコーポレイテッド エピタキシャル層形成中の形態制御方法
CN103981568A (zh) * 2006-07-31 2014-08-13 应用材料公司 形成含碳外延硅层的方法
US7582549B2 (en) 2006-08-25 2009-09-01 Micron Technology, Inc. Atomic layer deposited barium strontium titanium oxide films
TWI435376B (zh) 2006-09-26 2014-04-21 Applied Materials Inc 用於缺陷鈍化之高k閘極堆疊的氟電漿處理
US20080081464A1 (en) * 2006-09-29 2008-04-03 Tokyo Electron Limited Method of integrated substrated processing using a hot filament hydrogen radical souce
US20080078325A1 (en) * 2006-09-29 2008-04-03 Tokyo Electron Limited Processing system containing a hot filament hydrogen radical source for integrated substrate processing
JP2010506408A (ja) 2006-10-05 2010-02-25 エーエスエム アメリカ インコーポレイテッド 金属シリケート膜のald
US7521379B2 (en) * 2006-10-09 2009-04-21 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US20080099436A1 (en) * 2006-10-30 2008-05-01 Michael Grimbergen Endpoint detection for photomask etching
US8158526B2 (en) 2006-10-30 2012-04-17 Applied Materials, Inc. Endpoint detection for photomask etching
US20080145536A1 (en) * 2006-12-13 2008-06-19 Applied Materials, Inc. METHOD AND APPARATUS FOR LOW TEMPERATURE AND LOW K SiBN DEPOSITION
US8235001B2 (en) * 2007-04-02 2012-08-07 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method for manufacturing semiconductor device
US20090071371A1 (en) * 2007-09-18 2009-03-19 College Of William And Mary Silicon Oxynitride Coating Compositions
US7678298B2 (en) * 2007-09-25 2010-03-16 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US7585762B2 (en) * 2007-09-25 2009-09-08 Applied Materials, Inc. Vapor deposition processes for tantalum carbide nitride materials
US20090087623A1 (en) * 2007-09-28 2009-04-02 Brazier Mark R Methods for the deposition of ternary oxide gate dielectrics and structures formed thereby
US7824743B2 (en) * 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
JP5551681B2 (ja) * 2008-04-16 2014-07-16 エーエスエム アメリカ インコーポレイテッド アルミニウム炭化水素化合物を使用する金属炭化物膜の原子層堆積
US20090289390A1 (en) * 2008-05-23 2009-11-26 Rec Silicon, Inc. Direct silicon or reactive metal casting
US20100047148A1 (en) * 2008-05-23 2010-02-25 Rec Silicon, Inc. Skull reactor
US8945675B2 (en) 2008-05-29 2015-02-03 Asm International N.V. Methods for forming conductive titanium oxide thin films
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US8146896B2 (en) 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8557702B2 (en) 2009-02-02 2013-10-15 Asm America, Inc. Plasma-enhanced atomic layers deposition of conductive material over dielectric layers
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8501610B2 (en) * 2009-04-28 2013-08-06 Taiwan Semiconductor Manufacturing Company, Ltd. Non-volatile memories and methods of fabrication thereof
WO2011011532A2 (en) * 2009-07-22 2011-01-27 Applied Materials, Inc. Hollow cathode showerhead
US8802201B2 (en) * 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) * 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110068348A1 (en) * 2009-09-18 2011-03-24 Taiwan Semiconductor Manufacturing Company, Ltd. Thin body mosfet with conducting surface channel extensions and gate-controlled channel sidewalls
TWI405335B (zh) * 2010-09-13 2013-08-11 Au Optronics Corp 半導體結構及其製造方法
CN102413649A (zh) * 2010-09-23 2012-04-11 鸿富锦精密工业(深圳)有限公司 壳体及其制造方法
US8778204B2 (en) 2010-10-29 2014-07-15 Applied Materials, Inc. Methods for reducing photoresist interference when monitoring a target layer in a plasma process
JP5544343B2 (ja) * 2010-10-29 2014-07-09 東京エレクトロン株式会社 成膜装置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20130034666A1 (en) * 2011-08-01 2013-02-07 Applied Materials, Inc. Inductive plasma sources for wafer processing and chamber cleaning
US8961804B2 (en) 2011-10-25 2015-02-24 Applied Materials, Inc. Etch rate detection for photomask etching
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8808559B2 (en) 2011-11-22 2014-08-19 Applied Materials, Inc. Etch rate detection for reflective multi-material layers etching
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US8900469B2 (en) 2011-12-19 2014-12-02 Applied Materials, Inc. Etch rate detection for anti-reflective coating layer and absorber layer etching
US9093269B2 (en) 2011-12-20 2015-07-28 Asm America, Inc. In-situ pre-clean prior to epitaxy
US9238865B2 (en) * 2012-02-06 2016-01-19 Asm Ip Holding B.V. Multiple vapor sources for vapor deposition
JP5801221B2 (ja) * 2012-02-22 2015-10-28 株式会社東芝 半導体装置の製造方法および半導体装置
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9029253B2 (en) 2012-05-02 2015-05-12 Asm Ip Holding B.V. Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
CN103594343A (zh) * 2012-08-13 2014-02-19 中芯国际集成电路制造(上海)有限公司 高k膜的制作方法及晶体管的形成方法
US8836037B2 (en) * 2012-08-13 2014-09-16 International Business Machines Corporation Structure and method to form input/output devices
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9171715B2 (en) 2012-09-05 2015-10-27 Asm Ip Holding B.V. Atomic layer deposition of GeO2
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US9805939B2 (en) 2012-10-12 2017-10-31 Applied Materials, Inc. Dual endpoint detection for advanced phase shift and binary photomasks
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9330899B2 (en) 2012-11-01 2016-05-03 Asm Ip Holding B.V. Method of depositing thin film
US8778574B2 (en) 2012-11-30 2014-07-15 Applied Materials, Inc. Method for etching EUV material layers utilized to form a photomask
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9330901B2 (en) * 2013-03-01 2016-05-03 Taiwan Semiconductor Manufacturing Company, Ltd. Nitrogen-containing oxide film and method of forming the same
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9412602B2 (en) 2013-03-13 2016-08-09 Asm Ip Holding B.V. Deposition of smooth metal nitride films
US8846550B1 (en) 2013-03-14 2014-09-30 Asm Ip Holding B.V. Silane or borane treatment of metal thin films
US8841182B1 (en) 2013-03-14 2014-09-23 Asm Ip Holding B.V. Silane and borane treatments for titanium carbide films
KR20140122585A (ko) * 2013-04-10 2014-10-20 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9218963B2 (en) 2013-12-19 2015-12-22 Asm Ip Holding B.V. Cyclical deposition of germanium
US9394609B2 (en) 2014-02-13 2016-07-19 Asm Ip Holding B.V. Atomic layer deposition of aluminum fluoride thin films
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10643925B2 (en) 2014-04-17 2020-05-05 Asm Ip Holding B.V. Fluorine-containing conductive films
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10002936B2 (en) 2014-10-23 2018-06-19 Asm Ip Holding B.V. Titanium aluminum and tantalum aluminum thin films
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US10100407B2 (en) * 2014-12-19 2018-10-16 Lam Research Corporation Hardware and process for film uniformity improvement
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6526467B2 (ja) * 2015-04-16 2019-06-05 株式会社ミクニ 圧力センサ
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9523148B1 (en) 2015-08-25 2016-12-20 Asm Ip Holdings B.V. Process for deposition of titanium oxynitride for use in integrated circuit fabrication
US9540729B1 (en) 2015-08-25 2017-01-10 Asm Ip Holding B.V. Deposition of titanium nanolaminates for use in integrated circuit fabrication
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US9941425B2 (en) 2015-10-16 2018-04-10 Asm Ip Holdings B.V. Photoactive devices and materials
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9963780B2 (en) 2015-12-03 2018-05-08 International Business Machines Corporation Growth of metal on a dielectric
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9888554B2 (en) * 2016-01-21 2018-02-06 Asml Netherlands B.V. System, method and apparatus for target material debris cleaning of EUV vessel and EUV collector
US20170237001A1 (en) * 2016-02-17 2017-08-17 Arm Ltd. Fabrication of correlated electron material devices comprising nitrogen
US20170213960A1 (en) * 2016-01-26 2017-07-27 Arm Ltd. Fabrication and operation of correlated electron material devices
US10797238B2 (en) 2016-01-26 2020-10-06 Arm Ltd. Fabricating correlated electron material (CEM) devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10461026B2 (en) 2016-06-30 2019-10-29 International Business Machines Corporation Techniques to improve reliability in Cu interconnects using Cu intermetallics
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
CN110024089B (zh) * 2016-11-30 2023-06-27 株式会社理光 氧化物或氧氮化物绝缘体膜及其形成用涂布液,场效应晶体管及其制造方法
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
JP6814057B2 (ja) * 2017-01-27 2021-01-13 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
CN108630751B (zh) 2017-03-21 2022-02-15 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
CN110546302B (zh) 2017-05-05 2022-05-27 Asm Ip 控股有限公司 用于受控形成含氧薄膜的等离子体增强沉积方法
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
EP3688815A4 (en) 2017-09-28 2021-04-14 INTEL Corporation FIELD EFFECT TRANSISTORS WITH FERROELECTRIC OR ANTIFERROELECTRIC GATE DIELECTRIC STRUCTURE
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10872803B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10872804B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10991573B2 (en) 2017-12-04 2021-04-27 Asm Ip Holding B.V. Uniform deposition of SiOC on dielectric and metal surfaces
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US11222794B2 (en) 2018-03-30 2022-01-11 Taiwan Semiconductor Manufacturing Co., Ltd Semiconductor fabrication system embedded with effective baking module
KR102556277B1 (ko) 2018-04-23 2023-07-17 삼성디스플레이 주식회사 성막 장치 및 성막 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
TWI815891B (zh) * 2018-06-21 2023-09-21 美商應用材料股份有限公司 薄膜及沉積薄膜的方法
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
CN109103087A (zh) * 2018-07-13 2018-12-28 上海华力集成电路制造有限公司 氧化铪栅极介质层的制造方法
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
US11788190B2 (en) 2019-07-05 2023-10-17 Asm Ip Holding B.V. Liquid vaporizer
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11946136B2 (en) 2019-09-20 2024-04-02 Asm Ip Holding B.V. Semiconductor processing device
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (97)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6468A (en) * 1849-05-22 ruteyen
US102838A (en) * 1870-05-10 Improved bridle-bit
US2394930A (en) 1943-07-03 1946-02-12 Eastman Kodak Co Formation of mirrors by thermal evaporation
US3964936A (en) * 1974-01-02 1976-06-22 Amchem Products, Inc. Coating solution for metal surfaces
US3895127A (en) 1974-04-19 1975-07-15 Rca Corp Method of selectively depositing glass on semiconductor devices
NL165134B (nl) * 1974-04-24 1980-10-15 Nippon Telegraph & Telephone Werkwijze voor de vervaardiging van een staaf als tussenprodukt voor de vervaardiging van een optische vezel en werkwijze voor de vervaardiging van een optische vezel uit zulk een tussenprodukt.
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
US4056642A (en) 1976-05-14 1977-11-01 Data General Corporation Method of fabricating metal-semiconductor interfaces
US4247249A (en) * 1978-09-22 1981-01-27 General Electric Company Turbine engine shroud
DE2904171A1 (de) 1979-02-05 1980-08-14 Siemens Ag Verfahren zum herstellen von aus amorphem silizium bestehenden halbleiterkoerpern durch glimmentladung
US4343830A (en) 1980-11-13 1982-08-10 Motorola, Inc. Method for improving the efficiency of solar cells having imperfections
JPS5821324A (ja) * 1981-07-30 1983-02-08 Agency Of Ind Science & Technol 水素添加した半導体薄膜成長用金属表面基板の前処理方法
US4544571A (en) 1984-02-13 1985-10-01 Pennwalt Corporation Method of manufacture of EMI/RFI vapor deposited composite shielding panel
JPS60254621A (ja) 1984-05-31 1985-12-16 Matsushita Electric Ind Co Ltd 薄膜形成方法
FR2571542B1 (fr) 1984-10-09 1987-01-23 Labo Electronique Physique Procede de realisation d'un dispositif semiconducteur incluant l'action de plasma
US4654683A (en) * 1985-08-23 1987-03-31 Eastman Kodak Company Blooming control in CCD image sensors
US4795512A (en) * 1986-02-26 1989-01-03 Matsushita Electric Industrial Co., Ltd. Method of manufacturing a multilayer ceramic body
US4766006A (en) 1986-05-15 1988-08-23 Varian Associates, Inc. Low pressure chemical vapor deposition of metal silicide
US4747367A (en) 1986-06-12 1988-05-31 Crystal Specialties, Inc. Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition
US4870470A (en) * 1987-10-16 1989-09-26 International Business Machines Corporation Non-volatile memory cell having Si rich silicon nitride charge trapping layer
US5322725A (en) * 1988-08-31 1994-06-21 The Boeing Company Thermal protection system
US4880614A (en) * 1988-11-03 1989-11-14 Allied-Signal Inc. Ceramic thermal barrier coating with alumina interlayer
US4992306A (en) * 1990-02-01 1991-02-12 Air Products Abd Chemicals, Inc. Deposition of silicon dioxide and silicon oxynitride films using azidosilane sources
DE4034842A1 (de) 1990-11-02 1992-05-07 Thyssen Edelstahlwerke Ag Verfahren zur plasmachemischen reinigung fuer eine anschliessende pvd oder pecvd beschichtung
JP2652108B2 (ja) * 1991-09-05 1997-09-10 三菱電機株式会社 電界効果トランジスタおよびその製造方法
WO1993005194A1 (en) * 1991-09-05 1993-03-18 Technalum Research, Inc. Method for the production of compositionally graded coatings
US5250456A (en) * 1991-09-13 1993-10-05 Sgs-Thomson Microelectronics, Inc. Method of forming an integrated circuit capacitor dielectric and a capacitor formed thereby
US5576222A (en) * 1992-01-27 1996-11-19 Tdk Corp. Method of making a semiconductor image sensor device
US5726087A (en) * 1992-04-30 1998-03-10 Motorola, Inc. Method of formation of semiconductor gate dielectric
US5306666A (en) 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
US5281546A (en) 1992-09-02 1994-01-25 General Electric Company Method of fabricating a thin film transistor using hydrogen plasma treatment of the intrinsic silicon/doped layer interface
JPH06163368A (ja) * 1992-11-25 1994-06-10 Matsushita Electric Works Ltd X線露光用マスク
JPH06169083A (ja) * 1992-11-30 1994-06-14 Hitachi Ltd 半導体装置およびその製造方法
JPH06251896A (ja) 1992-12-28 1994-09-09 Hitachi Ltd プラズマ処理方法及び装置
DE69405438T2 (de) 1993-03-24 1998-04-02 At & T Corp Verfahren zur Bildung dielektrischer Oxynitridschichten bei der Herstellung integrierter Schaltungen
US5397720A (en) * 1994-01-07 1995-03-14 The Regents Of The University Of Texas System Method of making MOS transistor having improved oxynitride dielectric
US5795495A (en) 1994-04-25 1998-08-18 Micron Technology, Inc. Method of chemical mechanical polishing for dielectric layers
US5449314A (en) 1994-04-25 1995-09-12 Micron Technology, Inc. Method of chimical mechanical polishing for dielectric layers
JP2901493B2 (ja) * 1994-06-27 1999-06-07 日本電気株式会社 半導体記憶装置及びその製造方法
US5726257A (en) * 1994-08-30 1998-03-10 Sumitomo Chemical Company, Ltd. Esterified resorcinol-carbonyl compound condensates and epoxy resins therewith
US5576071A (en) 1994-11-08 1996-11-19 Micron Technology, Inc. Method of reducing carbon incorporation into films produced by chemical vapor deposition involving organic precursor compounds
US6228453B1 (en) * 1995-06-07 2001-05-08 Lanxide Technology Company, Lp Composite materials comprising two jonal functions and methods for making the same
US6447848B1 (en) * 1995-11-13 2002-09-10 The United States Of America As Represented By The Secretary Of The Navy Nanosize particle coatings made by thermally spraying solution precursor feedstocks
KR100207467B1 (ko) 1996-02-29 1999-07-15 윤종용 반도체 장치의 커패시터 제조 방법
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5993916A (en) 1996-07-12 1999-11-30 Applied Materials, Inc. Method for substrate processing with improved throughput and yield
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US5939763A (en) * 1996-09-05 1999-08-17 Advanced Micro Devices, Inc. Ultrathin oxynitride structure and process for VLSI applications
US5923056A (en) * 1996-10-10 1999-07-13 Lucent Technologies Inc. Electronic components with doped metal oxide dielectric materials and a process for making electronic components with doped metal oxide dielectric materials
FR2756663B1 (fr) 1996-12-04 1999-02-26 Berenguer Marc Procede de traitement d'un substrat semi-conducteur comprenant une etape de traitement de surface
US5950107A (en) 1996-12-17 1999-09-07 Intel Corporation In-situ pre-ILD deposition treatment to improve ILD to metal adhesion
US5891798A (en) * 1996-12-20 1999-04-06 Intel Corporation Method for forming a High dielectric constant insulator in the fabrication of an integrated circuit
US5961791A (en) * 1997-02-26 1999-10-05 Motorola, Inc. Process for fabricating a semiconductor device
US6207587B1 (en) 1997-06-24 2001-03-27 Micron Technology, Inc. Method for forming a dielectric
US6020260A (en) 1997-06-25 2000-02-01 Advanced Micro Devices, Inc. Method of fabricating a semiconductor device having nitrogen-bearing gate electrode
US6013553A (en) * 1997-07-24 2000-01-11 Texas Instruments Incorporated Zirconium and/or hafnium oxynitride gate dielectric
US5972804A (en) 1997-08-05 1999-10-26 Motorola, Inc. Process for forming a semiconductor device
GB9717245D0 (en) * 1997-08-15 1997-10-22 Rolls Royce Plc A metallic article having a thermal barrier coaring and a method of application thereof
JPH11195753A (ja) * 1997-10-27 1999-07-21 Seiko Epson Corp 半導体装置およびその製造方法
US5937303A (en) * 1997-10-29 1999-08-10 Advanced Micro Devices High dielectric constant gate dielectric integrated with nitrogenated gate electrode
US6127284A (en) 1997-12-18 2000-10-03 Advanced Micro Devices, Inc. Method of manufacturing a semiconductor device having nitrogen-bearing oxide gate insulating layer
US6107192A (en) 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
US6200866B1 (en) 1998-02-23 2001-03-13 Sharp Laboratories Of America, Inc. Use of silicon germanium and other alloys as the replacement gate for the fabrication of MOSFET
US6274929B1 (en) * 1998-09-01 2001-08-14 Texas Instruments Incorporated Stacked double sided integrated circuit package
US6204182B1 (en) * 1998-03-02 2001-03-20 Hewlett-Packard Company In-situ fluid jet orifice
US6033998A (en) 1998-03-09 2000-03-07 Lsi Logic Corporation Method of forming variable thickness gate dielectrics
US6087229A (en) 1998-03-09 2000-07-11 Lsi Logic Corporation Composite semiconductor gate dielectrics
US6184110B1 (en) 1998-04-30 2001-02-06 Sharp Laboratories Of America, Inc. Method of forming nitrogen implanted ultrathin gate oxide for dual gate CMOS devices
US6399522B1 (en) 1998-05-11 2002-06-04 Taiwan Semiconductor Manufacturing Company PE-silane oxide particle performance improvement
US6063666A (en) 1998-06-16 2000-05-16 Advanced Micro Devices, Inc. RTCVD oxide and N2 O anneal for top oxide of ONO film
US6461675B2 (en) 1998-07-10 2002-10-08 Cvc Products, Inc. Method for forming a copper film on a substrate
JP2000058832A (ja) * 1998-07-15 2000-02-25 Texas Instr Inc <Ti> オキシ窒化ジルコニウム及び/又はハフニウム・ゲ―ト誘電体
US6100559A (en) * 1998-08-14 2000-08-08 Advanced Micro Devices, Inc. Multipurpose graded silicon oxynitride cap layer
US6362526B1 (en) 1998-10-08 2002-03-26 Advanced Micro Devices, Inc. Alloy barrier layers for semiconductors
KR100297719B1 (ko) 1998-10-16 2001-08-07 윤종용 박막제조방법
US6090217A (en) 1998-12-09 2000-07-18 Kittle; Paul A. Surface treatment of semiconductor substrates
US6294836B1 (en) 1998-12-22 2001-09-25 Cvc Products Inc. Semiconductor chip interconnect barrier material and fabrication method
US6174799B1 (en) 1999-01-05 2001-01-16 Advanced Micro Devices, Inc. Graded compound seed layers for semiconductors
US6245616B1 (en) 1999-01-06 2001-06-12 International Business Machines Corporation Method of forming oxynitride gate dielectric
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6162684A (en) 1999-03-11 2000-12-19 Advanced Micro Devices, Inc. Ammonia annealed and wet oxidized LPCVD oxide to replace ono films for high integrated flash memory devices
US6436848B1 (en) * 1999-03-30 2002-08-20 Cypress Semiconductor Corp. Method for forming nitrogen-rich silicon oxide-based dielectric materials
US6236076B1 (en) * 1999-04-29 2001-05-22 Symetrix Corporation Ferroelectric field effect transistors for nonvolatile memory applications having functional gradient material
US6271127B1 (en) * 1999-06-10 2001-08-07 Conexant Systems, Inc. Method for dual damascene process using electron beam and ion implantation cure methods for low dielectric constant materials
US6180543B1 (en) * 1999-07-06 2001-01-30 Taiwan Semiconductor Manufacturing Company Method of generating two nitrogen concentration peak profiles in gate oxide
US6329704B1 (en) 1999-12-09 2001-12-11 International Business Machines Corporation Ultra-shallow junction dopant layer having a peak concentration within a dielectric layer
US6407435B1 (en) * 2000-02-11 2002-06-18 Sharp Laboratories Of America, Inc. Multilayer dielectric stack and method
AU2001245388A1 (en) * 2000-03-07 2001-09-17 Asm America, Inc. Graded thin films
US6368954B1 (en) 2000-07-28 2002-04-09 Advanced Micro Devices, Inc. Method of copper interconnect formation using atomic layer copper deposition
US6576967B1 (en) * 2000-09-18 2003-06-10 Motorola, Inc. Semiconductor structure and process for forming a metal oxy-nitride dielectric layer
US6657284B1 (en) * 2000-12-01 2003-12-02 Taiwan Semiconductor Manufacturing Co., Ltd. Graded dielectric layer and method for fabrication thereof
KR100708640B1 (ko) 2001-02-07 2007-04-18 삼성에스디아이 주식회사 광학적 전기적 특성을 지닌 기능성 박막
JP3833899B2 (ja) * 2001-03-27 2006-10-18 独立行政法人産業技術総合研究所 酸化処理装置
JP3792589B2 (ja) * 2001-03-29 2006-07-05 富士通株式会社 半導体装置の製造方法
JP4104834B2 (ja) * 2001-04-13 2008-06-18 株式会社東芝 Mis型電界効果トランジスタの製造方法
US20020167005A1 (en) * 2001-05-11 2002-11-14 Motorola, Inc Semiconductor structure including low-leakage, high crystalline dielectric materials and methods of forming same
US6846751B2 (en) * 2002-12-13 2005-01-25 Integrated Device Technology, Inc. Nitrogen implementation to minimize device variation

Also Published As

Publication number Publication date
EP1449240B1 (en) 2006-05-31
US6960537B2 (en) 2005-11-01
US7569284B2 (en) 2009-08-04
DE60211940T2 (de) 2007-05-03
US20030072975A1 (en) 2003-04-17
EP1449240A1 (en) 2004-08-25
JP2005505920A (ja) 2005-02-24
WO2003030243A1 (en) 2003-04-10
US7405453B2 (en) 2008-07-29
US20050212119A1 (en) 2005-09-29
US20080286589A1 (en) 2008-11-20
DE60211940D1 (de) 2006-07-06

Similar Documents

Publication Publication Date Title
JP4566559B2 (ja) 誘電層の形成方法
JP4281082B2 (ja) 堆積前の表面調整方法
US7202166B2 (en) Surface preparation prior to deposition on germanium
US7026219B2 (en) Integration of high k gate dielectric
US6348420B1 (en) Situ dielectric stacks
US6806145B2 (en) Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
US20060211259A1 (en) Silicon oxide cap over high dielectric constant films
US20230175129A1 (en) Methods for improving thin film quality
KR100920402B1 (ko) 저온 게이트 스택
EP1425785A2 (en) Method of fabricating a gate stack at low temperature

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050914

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050914

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20080723

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080806

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20081027

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20081104

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090129

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20090703

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20090703

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20091124

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20091207

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20091207

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100224

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100330

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100625

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100720

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100804

R150 Certificate of patent or registration of utility model

Ref document number: 4566559

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130813

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term