JP4562429B2 - 半導体デバイス中でのシリサイドフィルムの形成方法 - Google Patents

半導体デバイス中でのシリサイドフィルムの形成方法 Download PDF

Info

Publication number
JP4562429B2
JP4562429B2 JP2004175689A JP2004175689A JP4562429B2 JP 4562429 B2 JP4562429 B2 JP 4562429B2 JP 2004175689 A JP2004175689 A JP 2004175689A JP 2004175689 A JP2004175689 A JP 2004175689A JP 4562429 B2 JP4562429 B2 JP 4562429B2
Authority
JP
Japan
Prior art keywords
substrate
cooling
silicon
heated
annealing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2004175689A
Other languages
English (en)
Other versions
JP2005039225A (ja
Inventor
ハー. アー. グランネマン エルンスト
クーツネッツォフ フラディミル
パジェス ザヴィエル
アー. ファン デル ユハト コルネリウス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM International NV
Original Assignee
ASM International NV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM International NV filed Critical ASM International NV
Publication of JP2005039225A publication Critical patent/JP2005039225A/ja
Application granted granted Critical
Publication of JP4562429B2 publication Critical patent/JP4562429B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/24Alloying of impurity materials, e.g. doping materials, electrode materials, with a semiconductor body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Description

本発明は概ね半導体デバイスの製造分野に関し、特にシリサイド化プロセスに関する。
先端的な半導体デバイスでは、ゲート、ソースおよびドレイン構造物中に存在するシリコンの一部は、低抵抗の金属シリサイドに転換されている。これは、一方で、低い体積抵抗を有する伝導性の経路を実現するためであり、他方で、良好な接触抵抗を確保するためである。過去においては、このプロセスのためにTiSi2が使用されたが、最近では、最も先端的なデバイス用のシリサイドの選択としてはCoSi2である。TiSi2とCoSi2はどちらも比較的大量にシリコンを消費するので、65nm技術のノードおよびそれ以上のために用いられるデバイスに関しては、NiSixを使用してこれらの伝導性の経路を形成するように切り替えることが期待される。このシリサイドは、体積抵抗が低いこととシリコン消費が比較的少量であることを兼ね備えている。
基板80上にNiSixを生成する理想的なプロセスを図1a〜1dに示す。まず、ゲート電極10、ゲート誘電体20、ソース30およびドレイン40を含むトランジスタの基礎構造が形成される(図1a)。多結晶シリコン(ポリ−Si)ゲートの側面には、ポリ−Si/ゲート酸化物スタックの側壁を、後続して形成されるフィルムから絶縁させるために、いわゆるスペーサ50を配置する。次いで、通常、物理蒸着(PVD、例えばスパッタリング)プロセスによって、ニッケルフィルム60(Ni)を蒸着する(図1b)。Niが下にあるSiと反応してニッケルシリサイド(NiSix)70を形成する温度に、ウエハを加熱する。ここで用られるNiSixは、アニール温度に応じてNi2Si、NiSi、NiSi2および/またはこれらの混合物を表す。温度は、一般に、比較的高い抵抗を有するNiSi2の生成を避けるように十分低く(例えば、<約600℃)保持される。
原理的には、NiSixは、自己整列型で、すなわちNiとSiがどちらも存在する場所だけで生成される。図示した配置では、そうした場所は、金属Ni層の下に露出したシリコンを有している。したがって、理想的には、スペーサ50の位置ではシリサイドの成長は起こらない(図1c)。図1cは、露出していたシリコン上の領域でNiフィルムが完全に消費されるまで、シリサイドフィルムの形成が継続されることを示している。シリコンの上に、反応するNiは残っていない。第1急速熱加工ステップを略して一般にRTP1と称されるこのプロセスは、約300〜400℃の範囲の温度で実施される。
このプロセスが完了した後、基板をいわゆる選択的金属エッチングにかける。このウェットエッチングプロセスで、未反応のNiはエッチングされるが、NiSixフィルムはそのまま残る(図1d参照)。その結果、ゲート、ソース、ドレインおよび任意の他の露出していたシリコン表面の上に低抵抗シリサイドが存在することになる。未反応Niを除去することによって、これらの構造は、電気的に互いに絶縁される。通常、続くアニール(RTP2)は、例えば450℃で、シリサイドフィルムが完全にNiSiだけからできているように、例えば、シリサイド化の間に形成された先行するNi2Si相が完全に反応しつくすように施される。一般に、100nmのNiは約8μΩcmの表面抵抗を有するNiSiに転換される。
したがって、理論的には、NiSixは低い体積抵抗と良好な接触抵抗を有する伝導性経路の生成を可能にする。しかし、本発明者らは、実際には、基板の表面に広がる異なったフィルムは異なった抵抗を有することができるので、これらのNiSixフィルムの抵抗は理想的なものよりも劣ることを見出した。NiSixフィルムを用いて形成される電気デバイスの電気的性能に不均一性をもたらす可能性があるので、そうした変動は望ましくない。
したがって、より均一な抵抗を有するNiSixフィルムを形成する方法が必要である。
本発明の一態様によれば、半導体ウエハを加工するための方法を提供する。その方法は、半導体ウエハをアニーリングステーションに搭載することを含む。ウエハは、ウエハの少なくともいくつかの区域上でシリコンと接触している金属フィルムを備える。ウエハをシリサイド化温度に一様に加熱し、金属の部分がシリコンと反応してシリサイドを生成するのに十分な時間、前記ウエハを前記シリサイド化温度に保持する。ウエハの少なくともいくつかの区域の各々の上に重なる金属のすべてが前記シリコンと反応してしまう前に、アニーリングステーションから前記ウエハを取り出す。生成された上記シリサイドが、1.5%以下の表面抵抗の不均一度を有する。
本発明の別の態様によれば、平坦な表面を有する基板上で金属とシリコンを自己整列型のシリサイド化を行う方法を提供する。その方法は、金属またはシリコンのうちの一方のブランケット層を提供し、ブランケット層と接触している、金属またはシリコンの他方のパターン化構造を提供することを含む。一様に加熱され且つ実質的に平坦な加熱される物体に、基板を平行に且つ対向させて配置して、金属シリサイドパターンを形成するように、ブランケット層およびパターン化構造を急速熱アニーリングにかける。加熱される物体は平坦な基板表面全体にわたって拡張している。上記金属シリサイドパターンを覆う各領域のブランケット層がすべて消耗される前に、急速熱アニーリングを中断する。
本発明のさらに別の態様によれば、集積回路が提供される。集積回路は、複数の電気接触部を有する複数の電気デバイスを備える。複数の電気接触部は、1.5%以下の表面抵抗の不均一度、及び、異なる幅を有する、複数の金属シリサイドフィルムを備える。
本発明の好ましい実施形態では、E.Grannemanらの米国特許第6,183,565号に開示されているLevitor(登録商標)反応炉の中でシリサイド化を実施する。その開示全体を参照により本明細書に組み込む。
本発明のさらに別の実施形態では、アニーリング後、ウエハを、ウエハ表面全体に拡張する冷却用物体に近接させることによって、ウエハを強制的な方法で一様に冷却する。
好ましい実施形態の詳細な説明および添付の図面によって、本発明をよりよく理解できるであろう。これらの説明および図面は、本発明を説明するためのものであり、限定するものではない。
図2を参照すれば、本発明者らは、NiSixフィルムの抵抗の変動は、ニッケル層中のニッケルの移動によって引き起こされるフィルム厚さの変動に起因することを発見した。具体的には、NiSixを形成するのに消費されたニッケルが、シリコンの上に直接重なる領域からだけでなく、種々の構造(例えば、スペーサ50および他の絶縁体の上)の非シリコン表面の上に重なるNiフィルムの領域からももたらされるので、フィルムは異なった厚さを有する。その結果、図2aに示すように、実際の電気デバイスでは、様々なシリコン表面の寸法は、ダイまたは基板のいたる所で変動する。均一な金属フィルム60が蒸着されている場合(例えばPVD Ni)、シリサイド化プロセスは、基板80中のSiと、図2bに示すように、その近傍、すなわちSi表面のすぐ上にある好ましい金属層60のNiとが反応することから始まる。しかし、Siの上のNiがすべて消費された時に、プロセスが必ずしも停止するとは限らない。むしろ、図2cに示すように、プロセスは、Siと、反応が起こっていない区域から(例えば、絶縁体の真上から)Niがすでに消費されてNiSix層70が形成された区域に拡散したNiとの反応を継続する。これによって、図2dの左側上の構造などの細いSiラインが、図2dの右側上の構造に示されるようなより広いSiラインよりも、より厚いNiSix層70を有する状態がもたらされる。これがいわゆる「逆ライン幅効果」であって、拡散したNiが、より広いSiラインのより大きな区域よりも、より細い幅のSiラインのより小さい区域により集中することによって引き起こされる。より細いSiライン中で、Niの濃度がより高いことがNiSixの生成の増大と、これらの細いライン中でのトランジスタ作用区域のシリコンの消費の増大とをもたらす。
逆ライン幅効果の実験的な検証を図3に示す。図3のゲート長は、図2に示すライン幅に相当する寸法であることに注意されたい。これは、50nmラインが、約8Ω/sqの表面抵抗を有する1000nmラインの表面抵抗よりも約2〜3倍低い、約3Ω/sqの表面抵抗を有するシリサイドフィルムを有することを実証している。先に言及したように、こうした抵抗の不均衡は望ましくない。
逆ライン幅効果を防止する可能性のある1つの解決法は、アニールの最後をシリサイド化反応の完了、すなわち、Si表面の上に重なるNiのすべてが枯渇するのと一致するように、アニーリング時間を短縮するまたはアニール温度を下げることである。ランプ加熱システムでのシリサイド化プロセスには、小さいが重要である温度の不均一性の問題がある。したがって、そうしたランプ加熱システムで、シリサイド化反応が完結しない場合、表面抵抗の不均一度が著しく増大し、逆ライン幅効果によって引き起こされる不均一度より大きくなる可能性がある。したがって、シリサイド化プロセスは一般に、「過度に行い」、例えば、アニールを理論的に必要な時間よりも長く行って、シリサイド化がどの部分においても完了するようにする。
今日、通常用いられているアニーリングシステムは、コールドウォールチャンバー内で、ウエハなどの基板の輻射加熱に基づく、ランプをベースとしたシステムである。一般に、急速熱アニーリングのためにウエハの温度を急速に増大させる最もよい方法と考えられているが、コールドウォールチャンバー内での輻射体による加熱は、ウエハを一様に加熱できないことが判明した。NiのSiとの反応速度はアニール温度と関係するので、この不均等な加熱によって、ウエハ上の特定の区域ではNiフィルムが完全に消費されるが、他の区域ではNiは一部しか消費されない状態をもたらすことになる。したがって、NiSix層の厚さが大きく変動する結果となる。さらに、NiSix層が、Ni2SiおよびNiSiを含む様々なニッケルシリサイドの混合物を含有する可能性がある。生成するNi2SiとNiSiの相対的な濃度の変動は、異なった輻射吸収速度をもたらすことになり、潜在的にウエハのいたる所での不均等な加熱速度にさらに寄与する可能性がある。したがって、シリサイド化プロセス用に通常使用されるランプをベースとしたシステムも、不均一な厚さのNiSix層となる結果に寄与する可能性がある。
まとめると、逆ライン幅効果を回避する1つの方法は、表面の上に直接重なるNi層の完全な転換が丁度完了した時点で、NiのSiとの反応を停止することである。原理的にはよい考え方であるが、不均等な加熱などの要素のために、これを達成することは困難である。したがって、SiでのNiの不完全な消費が著しい抵抗の増大を引き起こすので、シリサイド化プロセスは一般にある程度「過度に行なわれ」、シリサイド化がどこにおいても完結し、かつ一般に低抵抗を有する構造が得られるようにする。その結果、シリサイド化プロセスは一般に逆ライン幅効果を示し、これはNiSix層の抵抗の変動を引き起こす。
不完全なシリサイド化は、一般にシリサイドフィルムの表面抵抗に許容されないほど大きな変動を生じることが予想されるが、不完全なシリサイド化は「逆ライン幅効果」を防止するのに役立ち得ることが判明した。さらに、不完全なシリサイド化の後に、許容されないほど大きな表面抵抗の変動が必ずしも生じるわけではなく、むしろ以下に示すように、これらの変動を著しく低減させることができる。
本発明の好ましい実施形態によれば、有利なことに、ホットウォール原理を採用した反応炉システムを使用して、比較的小さい表面抵抗の変動を有するシリサイドフィルムを形成することができる。これらのシステムでは、加熱される物体もしくはプレートを基板に近接させて配置し、輻射によるのではなく、伝導によって基板を加熱することが好ましい。この結果は、ウエハを非常に一様に低い温度に加熱することができ、その上、エネルギーの吸収も、形成されている材料の相、例えばニッケルシリサイドの場合Ni2SiおよびNiSi、に依存しない。したがって、不均等な加熱に起因する不均一性が低減する。結果として、Ni層がまだ完全に転換されていない時点でシリサイド化プロセスを停止させることは、以下に述べるホットウォール急速熱アニーリング(RTA)装置中で実施する場合に特に有利である。
NiSix転換プロセスは、少なくとも1つの一様に加熱され実質的に平坦な物体を有するシステム内で実施することが好ましい。加工の間、ウエハなどの基板は、加熱される物体に平行に且つ対向して配置され、加熱される物体は平坦なウエハ表面全体にわたって拡張する。ウエハは、加熱される物体に密に近接し、加熱される物体から約2mm未満に配置されるのが好ましく、約1mm未満に配置することがより好ましい。
好ましい一実施形態では、NiSix生成プロセスは、2つの実質的に平らな加熱される物体を備えるシステムで実施され、それぞれの物体が好ましくは一様に加熱される。加工条件で、ウエハを物体の間に収納でき、ウエハが加熱される物体に平行に且つ対向して配置されるように、物体を互いに平行に且つ対向させて配置する。加熱される物体のそれぞれは、ウエハの反対側の平らな表面のうちの1つの表面上に完全に拡張することが好ましい。
別の好ましい実施形態では、加熱される物体は大きな質量を有する。質量が大きいということは、基板表面全体に面し、かつ拡張する壁が、基板の熱質量の約5倍(5×)を超える熱質量、より好ましくは基板の熱質量の約10倍(10×)を超える熱質量を有することを意味する。実際には、これには一般に、基板表面の法線方向に測定して、厚さが約10mmを超える、より好ましくは厚さが約40mmを超える金属ブロックを必要とする。
シリサイド化アニールは、オランダのビルトホーフェン(Bilthoven)にあるASM International,N.V.から市販されているLevitor(登録商標)システムで実施することが最も好ましい。Levitor(登録商標)システムの反応炉200を図4に示す。この設計では、基板180を、2つの熱質量の大きいブロック、すなわちプレート213及び214の間の反応スペースに配置し、基板180がその両方の側面で、ブロック213及び214に近接するまで、熱質量の大きいブロックを近づけることによって、反応炉200を閉じる。有利なことに、ブロック213及び214は、基板のどちらの側にもガスクッションをもたらし、それによって非接触型のアニーリングが確実に行われるように構成された不活性ガス供給口227及び228を有する、基板180の両側に分散配置された多数のガス通路224および225を備えている。他の配置では、ポストまたは他のスペーサ(示されていない)によって、基板180をより低い壁248から間隔を空けて配置することができることが理解されるであろう。
ブロック213及び214には、熱質量が大きい加熱される物体230と240が備えられている。上記のように、加熱される物体230及び240は、基板180の熱質量の約5倍(5×)を超える、より好ましくは基板180の熱質量の約10倍(10×)を超える熱質量を有することが好ましく、かつ基板180の面に対して垂直に測定して、厚さが約10mmを超える、より好ましくは厚さが約40mmを超える金属ブロックであることが好ましい。基板180とブロック213および/または214との間が狭い間隔、すなわち約2mm未満、より好ましくは約1mm未満であることは、均一で殆んどが伝導による加熱を提供する。これはLevitor(登録商標)の設計において特に有利な点である。Levitor(登録商標)の設計による反応炉は米国特許第6,183,565号に記載されている。その開示全体を参照により本明細書に組み込む。
図6および7に示した実験結果は、基板の両側の上に加熱されるブロックを採用した反応炉で実施した実験によって得られた。しかし、以下により詳細に説明するように、Levitor(登録商標)システムは、加熱にも、その場での冷却にも使用することができる。したがって、ある配置では、上側のブロックまたはプレートと、下側のブロックまたはプレート(好ましくは、ウエハ全体に拡張し、近接して配置されている)との両方が熱く、同一温度に保持することができ、別の配置では、ブロックの一方だけが「熱く」(すなわち反応温度以上)、他方は「冷たい」(すなわち反応温度未満)。後者の配置は、基板をアニール温度より急速に低くすることによって、アニールの時間を厳密に制御するために、採用される。有利なことに、そうした急速冷却は、このような反応のための温度よりも基板温度を低くすることによって、シリサイド化反応を急速に停止させることができる。
シリサイド化プロセスのプロセスフローの例と、このプロセスフローの結果を図5に図示する。図5aに示すように、ブランケット金属層165、好ましくはニッケル(Ni)を、好ましくはシリコンウエハであるシリコン基板180上に蒸着することが好ましい。相互の絶縁領域150(示した実施形態でのスペーサ)の間に露出したシリコンの区域190を有するパターンの構造を有することが好ましいことを理解されるであろう。このシリコンは、先行して蒸着されたシリコン層のシリコンまたはウエハ自体を構成しているシリコンであってもよい。金属層165を蒸着することによって、金属が露出していたシリコン190と接触することになる。図示した実施形態において、露出したシリコン190は、ゲート電極110を形成するシリコン、あるいは、スペーサ150の傍およびゲート電極110を挟んだ反対側のスペーサ150の傍のソースおよびドレイン領域を形成するシリコンを含むことが理解されるであろう。
金属層蒸着を、後続するアニールと同じ反応チャンバー内で実施できること、またはアニールを、蒸着用の反応チャンバーとは異なるアニールステーションで実施できることも理解されるであろう。アニールステーションが蒸着チャンバーと異なる場合、金属層の蒸着後にウエハをアニールステーションに搭載することができる。そうしたアニールステーションは、例えば、Levitor(登録商標)システムの反応炉であってもよい。
図5bに示すように、次いで、ウエハをシリサイド化温度、すなわち金属層165のシリサイド170への転換が起こる温度でアニールする。図示した実施例では、シリサイド化温度は、Ni層165のNiSix170への転換が起こる温度である。アニールは、加熱が約2分間未満、より好ましくは約1分間未満で行われる急速な熱アニールであることが好ましい。図5cに示すようにNi層165のすべてがNiSix170に転換される前に、このプロセスを停止することが好ましい。シリサイド化のこの時点で、NiSi生成プロセスの提供されるニッケルのほとんどは、依然として、NiSixフィルム170が形成されている露出していたシリコン領域190のすぐ上に残留しているNi層165からもたらされる。原理的には、他の区域からのNiの拡散は常にある程度存在するが、そうした拡散は、NiSixフィルム170のすぐ上のNiの拡散ほどには、シリサイド化反応にNiをもたらさない。結果として、「逆ライン幅効果」を低減させるまたは実質的に防止することができる。さらに、アニールによって有利に形成されたシリサイドフィルムは、下にあるシリコン基板180により良好に付着し、蒸着プロセスなどで形成された同様のフィルムよりも、ぼやけた境界を有する。シリサイドフィルム170は、これらの層の下のソースおよびドレイン領域と接触することが好ましいことが理解されるであろう。
図5dに示すように、NiSixフィルム170を残して選択的に金属エッチングすることによって、未反応Niを基板から選択的にエッチングすることができる。未反応Ni165の除去を、NiSixフィルム170を含む構造を電気的に互いに絶縁させるために使用することができる。その結果、ゲート、ソース、ドレインおよび/または任意の他の露出していたシリコン表面の上に低抵抗性シリサイド170を形成することができる。
上記のシリサイド化プロセスによって形成されたフィルム170の均一度を、温度の関数として、異なったシリサイド化時間で検討した。ブランケットNiフィルムについてのこれらの検討結果を図6に示す。250〜350℃の温度範囲で、5sおよび30sのアニーリング時間が適用された。図6に示した結果から、最初のPVD Ni層の完全な転換が、5sおよび30sのアニーリング時間の場合に、それぞれ約300℃超および約290℃超の温度範囲で、起こることが明らかである。完全な転換が起こる場合、最終的なNiSixフィルムの表面抵抗の均一度は、これは約3%であるが、最初のPVD Ni層の厚さでの均一度によって決まる。しかし、温度が十分に低く、その結果所定のアニール時間内で不完全な転換だけが起こる場合には、得られるNiSi層の均一度(未反応Niを選択的にエッチングした後)は、アニーリングシステムによって誘導されるように、熱収支の均一性によって支配される。Levitor(登録商標)システムを用いたアニーリングの場合、これによって、約1〜1.5%に不均一度が改善される。したがって、Niフィルムの不完全な転換の場合、「逆ライン幅効果」が排除されるだけでなく、ブランケットフィルムでのより良好な抵抗の均一度も得られる。
図7に、Levitor(登録商標)での30sアニールと、ランプ主体のアニーリングシステムとの間の比較を示す。約290℃を超える温度では、表面抵抗はどちらの場合も実質的に一定で(かつ同じ)ある。これは、Niの層が完全にニッケルシリサイドに転換した場合に得られる表面抵抗に一致する。その温度範囲では均一度も同じである。上記のように、表面抵抗の均一度は最初のPVD Ni層(約3%)の均一度によって決定される。
約275℃未満の温度では(不完全なシリサイド化の状況にある)、2つのシステムは著しく異なる挙動を示す。Levitor(登録商標)では、厚さと表面抵抗の両方について不均一度は約1〜1.5%に改善されるが、ランプ−アニール化したフィルムでは不均一度は>7%に悪化する。この結果は、所定の温度範囲で、ランプ主体のシステムの加熱の均一性が劣ることに直接関係している。
アニーリング後のウエハの冷却は様々な形で実施することができる。例えば、ウエハを、アニーリングステーションから取り出して、周囲のウエハ操作チャンバーまたは冷却チャンバーの内側への自由輻射および伝導によって、冷却することができる。そうした冷却は非常に均一とはいえないが、冷却の均一性は一般にそれほど重要ではない。シリサイド化温度からの冷却の際、冷却曲線の最初の部分は非常に速く通過するので、余分なニッケルの拡散は急速に低下し、それによって余分なニッケルの拡散は本質的に問題にならなくなる。
別の実施形態では、ウエハを、アニールステーションから冷却ステーションに移送することができ、そこでは、強制冷却を使用してウエハを冷却する。ウエハの上にガスの流れをブローする、またはウエハを冷却用物体に近接させることによって強制冷却を達成することができる。好ましいことに、そうした冷却は、米国特許第6,560,896号(その開示全体を参照により本明細書に組み込む)に記載されているようなLevitor(登録商標)設計による冷却ステーションで実施することができる。
さらに別の実施形態では、2つの反応炉ブロックがその中で異なる温度に保持され、そのブロックからのガスフローを切り換えることによってウエハの温度を切り換えられるLevitor(登録商標)システム中で、アニールを実施することができる。PCT特許出願の国際公開公報第WO01/50502号に詳細に記載されているように、ウエハの両側上の相対的なガスの熱伝導度を切り換えることによって、あるいは、米国特許第6,183,565号に記載のように、一方の側の上の流れを他方の側の流れに対して増大させ、それによって、熱いブロックの近く(アニーリングの間)と、冷たいブロックの近く(冷却の間)との間でウエハを物理的に移動させることによって達成することができる。国際公開公報第WO01/50502号および米国特許第6,183,565号の開示全体を参照により本明細書に組み込む。有利なことに、冷却がアニールステーション内で行われるので、基板を取り出して冷却ステーションに移動させる必要がある場合に起こるアニーリングと冷却との時間の遅れがない。したがって、アニール時間をより正確に制御することができ、それによって、完全なシリサイド化の前にプロセスを停止する瞬間のより厳密な制御が可能になる。
本実施例ではニッケルとニッケルシリサイドについて言及したが、本発明を、シリコンと反応してシリサイドを生成する任意の金属のシリサイド化プロセスに適用できることは、当分野の技術者には明らかであろう。そうした金属の例には、Ti、Zr、Hf、V、Nb、Ta、Cr、Mo、W、Co、Rh、Ir、Ni、PdおよびPtが含まれる。
さらに、電気デバイスを形成するのに、100nm以下の臨界寸法(CD)、好ましくは65nm以下のCDが特に有用であるが、本明細書での教示は任意の寸法のデバイスを形成するのに応用できることが理解されるであろう。
さらに、パターン化されたトランジスタ上でのシリサイド化の場合を述べてきたが、制御されたシリコンの消費が、多数の集積回路の製造ステップのいずれにおいても、自己整列型シリサイド化に有益であることは当分野の技術者には明らかであろう。自己整列型シリサイド化を、パターン化金属上のブランケットシリコン、またはブランケット金属上のパターン化シリコンでも実施できることが理解されるであろう。過剰の金属が使用され、その結果シリサイド化アニールの中断が望ましい場合、本明細書で述べた方法が特に有用であることが判明した。しかし、これらの方法はシリコンまたは金属反応に限定されず、かつ固体状態の反応の中断は、他の固体反応物に対して1つの固体反応物が過剰である多くの場合において、有用性を有するであろうことが理解されるであろう。
同様に、本発明の範囲を逸脱しないで、上記した方法および構造に様々な他の修正、省略および追加を行うことができる。そうした修正および変更はすべて、添付の特許請求の範囲によって規定されるように、本発明の範囲に包含されるものである。
理想的な従来技術のプロセスフローに係る、トランジスタのゲート、ソースおよびドレイン領域の上での均一なNiSixフィルムの理想的な形成を示す、部分的に形成された集積回路の概略的な断面図である。 理想的な従来技術のプロセスフローに係る、トランジスタのゲート、ソースおよびドレイン領域の上での均一なNiSixフィルムの理想的な形成を示す、部分的に形成された集積回路の概略的な断面図である。 理想的な従来技術のプロセスフローに係る、トランジスタのゲート、ソースおよびドレイン領域の上での均一なNiSixフィルムの理想的な形成を示す、部分的に形成された集積回路の概略的な断面図である。 理想的な従来技術のプロセスフローに係る、トランジスタのゲート、ソースおよびドレイン領域の上での均一なNiSixフィルムの理想的な形成を示す、部分的に形成された集積回路の概略的な断面図である。 図1a〜1dの従来技術のプロセスフローに付随する「逆ライン幅効果」に起因する不均一なNiSixフィルムの形成を示す図である。 図1a〜1dの従来技術のプロセスフローに付随する「逆ライン幅効果」に起因する不均一なNiSixフィルムの形成を示す図である。 図1a〜1dの従来技術のプロセスフローに付随する「逆ライン幅効果」に起因する不均一なNiSixフィルムの形成を示す図である。 図1a〜1dの従来技術のプロセスフローに付随する「逆ライン幅効果」に起因する不均一なNiSixフィルムの形成を示す図である。 より長いゲート長を有するN+ゲート構造はより薄いNiSixフィルムを有し、それによってフィルムにより高い表面抵抗がもたらされる、逆ライン幅効果の実験的な検証を示す表面抵抗とゲート長との関係のグラフを示す図である。 本発明の好ましい実施形態に係る、基板のアニーリングのための例示的な反応炉の概略的な断面図である。 本発明の好ましい実施形態に係る、逆ライン幅効果が抑制されたプロセスフローを示す、部分的に形成された集積回路の概略的な断面図である。 本発明の好ましい実施形態に係る、逆ライン幅効果が抑制されたプロセスフローを示す、部分的に形成された集積回路の概略的な断面図である。 本発明の好ましい実施形態に係る、逆ライン幅効果が抑制されたプロセスフローを示す、部分的に形成された集積回路の概略的な断面図である。 本発明の好ましい実施形態に係る、逆ライン幅効果が抑制されたプロセスフローを示す、部分的に形成された集積回路の概略的な断面図である。 本発明の好ましい実施形態に係る、5sのアニール時間での、Siウエハ上に蒸着されたブランケットNiフィルムに対する、NiSixシリサイド表面抵抗および均一度とアニール温度との関係のグラフを示す図である。 本発明の好ましい実施形態に係る、30sのアニール時間での、Siウエハ上に蒸着されたブランケットNiフィルムに対する、NiSixシリサイド表面抵抗および均一度とアニール温度との関係のグラフを示す図である。 本発明の好ましい実施形態に係る密に間隔をとったホットプレートシステムでの30sアニールと従来のランプ主体のシステムとの比較を示す、Siウエハ上に蒸着されたブランケットNiフィルムに対するNiSixシリサイド表面抵抗および均一度とアニール温度との関係のグラフを示す図である。

Claims (15)

  1. 平坦な表面を有する基板上で金属とシリコンの自己整列型のシリサイド化を行う方法であって、
    前記金属もしくは前記シリコンのうちの一方からなるブランケット層を提供するステップと、
    前記ブランケット層に接触している、前記金属もしくは前記シリコンのうちの他方のパターン化構造を提供するステップと、
    前記基板を、一様に加熱され且つ平坦な加熱される物体に平行に且つ対向させて配置し、前記加熱される物体を前記平坦な基板表面の全体上に拡張させることにより、前記ブランケット層及び前記パターン化構造を急速熱アニーリングして金属シリサイドパターンを形成する急速熱アニーリングステップと、
    前記金属シリサイドパターンを覆う各領域の前記ブランケット層がすべて消耗される前に、前記急速熱アニーリングを中断するステップと
    を含む方法。
  2. 前記中断するステップが、急速熱アニーリング後の前記基板の強制冷却ステップを含む請求項に記載の方法。
  3. 前記強制冷却ステップが、冷却用物体と前記基板の平坦な表面の2mm以内で伝導により熱を交換するステップを含み、
    前記冷却用物体が、前記基板の熱質量の少なくとも5倍の熱質量を有する請求項に記載の方法。
  4. 前記強制冷却ステップが、前記基板を急速熱アニーリングステーションから冷却ステーションへ移送するステップを含む請求項に記載の方法。
  5. 前記急速熱アニーリングするステップが、前記基板の表面の2mm以内に位置する前記加熱される物体と伝導により熱を交換するステップを含み、
    前記加熱される物体が、前記基板の熱質量の少なくとも5倍の熱質量を有する請求項に記載の方法。
  6. 前記急速熱アニーリングするステップが、前記基板と前記加熱される物体との間にガスクッションを保持するステップを含む請求項に記載の方法。
  7. 前記急速熱アニーリングするステップが、前記基板と、前記加熱される物体の反対側の前記基板表面上の第2の物体との間に第2のガスクッションを保持するステップを含む請求項に記載の方法。
  8. 前記金属シリサイドのパターンの直接上にある領域の前記ブランケット層がすべて消費される前に、強制冷却によって前記急速熱アニーリングするステップを中断するステップをさらに含む請求項に記載の方法。
  9. 前記強制冷却が、前記基板の平坦な表面の2mm以内に位置する冷却用物体と、伝導によって熱を交換するステップを含み、
    前記冷却用物体が、前記基板の熱質量の少なくとも5倍の熱質量を有する請求項に記載の方法。
  10. 前記冷却用物体が、第2の物体を含み、
    前記強制冷却するステップが、前記基板を、加熱される物体の温度から遠ざけ、前記冷却用物体の温度に近づけるように、前記基板のいずれかの側のガスフローを切り換えるステップを含む請求項に記載の方法。
  11. 前記ガスフローを切り換えるステップが、前記冷却用物体と前記基板との間には熱伝導性がより高いガスを、前記加熱される物体と前記基板との間には熱伝導性がより低いガスを流すステップを含む請求項10に記載の方法。
  12. 前記強制冷却するステップが、前記加熱される物体を保持するアニールステーションから、前記冷却用物体を保持する冷却ステーションへ前記基板を移送するステップを含む請求項に記載の方法。
  13. 前記パターン化構造が、絶縁領域相互の間に露出したシリコン領域を含み、
    前記ブランケット層が、前記シリコン領域及び前記絶縁領域の上に重なる金属層を含む請求項に記載の方法。
  14. 前記シリコン領域が、半導体基板およびポリシリコンゲート構造のトランジスタ活性区域を含む請求項13に記載の方法。
  15. 前記シリコン領域が、さらにトランジスタゲートスペーサを含む請求項14に記載の方法。

JP2004175689A 2003-06-12 2004-06-14 半導体デバイス中でのシリサイドフィルムの形成方法 Expired - Lifetime JP4562429B2 (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US47832403P 2003-06-12 2003-06-12

Publications (2)

Publication Number Publication Date
JP2005039225A JP2005039225A (ja) 2005-02-10
JP4562429B2 true JP4562429B2 (ja) 2010-10-13

Family

ID=34215805

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004175689A Expired - Lifetime JP4562429B2 (ja) 2003-06-12 2004-06-14 半導体デバイス中でのシリサイドフィルムの形成方法

Country Status (3)

Country Link
US (2) US7153772B2 (ja)
JP (1) JP4562429B2 (ja)
KR (1) KR100886260B1 (ja)

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7153772B2 (en) * 2003-06-12 2006-12-26 Asm International N.V. Methods of forming silicide films in semiconductor devices
JP4440080B2 (ja) * 2004-11-12 2010-03-24 株式会社東芝 半導体装置およびその製造方法
KR100576826B1 (ko) * 2004-12-15 2006-05-10 삼성전자주식회사 니켈 샐리사이드 공정 및 이를 사용한 반도체 소자의제조방법
JP2006324628A (ja) * 2005-05-16 2006-11-30 Interuniv Micro Electronica Centrum Vzw 完全ケイ化ゲート形成方法及び当該方法によって得られたデバイス
JP2007173743A (ja) * 2005-12-26 2007-07-05 Toshiba Corp 半導体装置の製造方法
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
US8367548B2 (en) * 2007-03-16 2013-02-05 Asm America, Inc. Stable silicide films and methods for making the same
US7807222B2 (en) * 2007-09-17 2010-10-05 Asm International N.V. Semiconductor processing parts having apertures with deposited coatings and methods for forming the same
JP5075793B2 (ja) * 2008-11-06 2012-11-21 東京エレクトロン株式会社 可動ガス導入構造物及び基板処理装置
US7927942B2 (en) 2008-12-19 2011-04-19 Asm International N.V. Selective silicide process
US9379011B2 (en) * 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
KR101070869B1 (ko) * 2009-04-21 2011-10-06 전북대학교산학협력단 쇼트키 장벽 트랜지스터 소자의 제조방법
US8367528B2 (en) 2009-11-17 2013-02-05 Asm America, Inc. Cyclical epitaxial deposition and etch
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
US8809170B2 (en) 2011-05-19 2014-08-19 Asm America Inc. High throughput cyclical epitaxial deposition and etch process
US10373850B2 (en) * 2015-03-11 2019-08-06 Asm Ip Holding B.V. Pre-clean chamber and process with substrate tray for changing substrate temperature
US9607842B1 (en) 2015-10-02 2017-03-28 Asm Ip Holding B.V. Methods of forming metal silicides
CN106409730B (zh) * 2016-10-25 2024-06-04 珠海鼎泰芯源晶体有限公司 非接触式晶圆退火装置及其退火方法
US10851457B2 (en) 2017-08-31 2020-12-01 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
KR20230037057A (ko) * 2019-08-16 2023-03-15 램 리써치 코포레이션 웨이퍼 내에서 차동 보우를 보상하기 위한 공간적으로 튜닝 가능한 증착
US11664443B2 (en) 2021-05-10 2023-05-30 Nxp Usa, Inc. LDMOS transistor with implant alignment spacers

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11514154A (ja) * 1996-07-08 1999-11-30 アドバンスド・セミコンダクター・マテリアルズ・インターナシヨナル・エヌ・ブイ ウエーファーの形の半導体基質を無接触的に処理する方法および装置
JP2000208437A (ja) * 1999-01-08 2000-07-28 United Microelectronics Corp ケイ化物層の形成方法
WO2001050502A1 (en) * 1999-12-29 2001-07-12 Asm International N.V. Method and apparatus for the treatment of substrates
JP2002176010A (ja) * 2000-09-22 2002-06-21 Samsung Electronics Co Ltd 半導体装置及びそのメタルシリサイド層形成方法
JP2003022984A (ja) * 2002-05-31 2003-01-24 Sharp Corp 半導体装置の製造方法
JP2003037084A (ja) * 2001-06-04 2003-02-07 Chartered Semiconductor Mfg Ltd 集積回路の製造中にジケイ化コバルト膜を形成する方法

Family Cites Families (63)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS594040A (ja) 1982-06-30 1984-01-10 Fujitsu Ltd 半導体基板の搬送方法
US4521952A (en) 1982-12-02 1985-06-11 International Business Machines Corporation Method of making integrated circuits using metal silicide contacts
US4605947A (en) 1983-03-07 1986-08-12 Motorola Inc. Titanium nitride MOS device gate electrode and method of producing
JPS6010673A (ja) 1983-06-30 1985-01-19 Fujitsu Ltd 半導体装置
US4994402A (en) 1987-06-26 1991-02-19 Hewlett-Packard Company Method of fabricating a coplanar, self-aligned contact structure in a semiconductor device
US4870030A (en) 1987-09-24 1989-09-26 Research Triangle Institute, Inc. Remote plasma enhanced CVD method for growing an epitaxial semiconductor layer
US4873205A (en) * 1987-12-21 1989-10-10 International Business Machines Corporation Method for providing silicide bridge contact between silicon regions separated by a thin dielectric
US5319220A (en) 1988-01-20 1994-06-07 Sharp Kabushiki Kaisha Silicon carbide semiconductor device
FR2658951B1 (fr) 1990-02-23 1992-05-07 Bonis Maurice Procede de fabrication d'un circuit integre pour filiere analogique rapide utilisant des lignes d'interconnexions locales en siliciure.
US5043300A (en) 1990-04-16 1991-08-27 Applied Materials, Inc. Single anneal step process for forming titanium silicide on semiconductor wafer
US5032233A (en) 1990-09-05 1991-07-16 Micron Technology, Inc. Method for improving step coverage of a metallization layer on an integrated circuit by use of a high melting point metal as an anti-reflective coating during laser planarization
US5196360A (en) 1990-10-02 1993-03-23 Micron Technologies, Inc. Methods for inhibiting outgrowth of silicide in self-aligned silicide process
US5236865A (en) 1991-01-16 1993-08-17 Micron Technology, Inc. Method for simultaneously forming silicide and effecting dopant activation on a semiconductor wafer
US5094977A (en) 1991-01-25 1992-03-10 Micron Technology, Inc. Stress reduction in metal films by laser annealing
US5147819A (en) 1991-02-21 1992-09-15 Micron Technology, Inc. Semiconductor metallization method
KR100228619B1 (ko) 1991-03-05 1999-11-01 아치 케이. 말론 자기-정합 접점 형성 방법 및 구조
US5084406A (en) 1991-07-01 1992-01-28 Micron Technology, Inc. Method for forming low resistance DRAM digit-line
US5389575A (en) 1991-07-12 1995-02-14 Hughes Aircraft Company Self-aligned contact diffusion barrier method
JPH05175216A (ja) 1991-12-24 1993-07-13 Rohm Co Ltd ヘテロ接合バイポーラトランジスタおよびその製法
US5231056A (en) 1992-01-15 1993-07-27 Micron Technology, Inc. Tungsten silicide (WSix) deposition process for semiconductor manufacture
JPH0610673A (ja) 1992-06-30 1994-01-18 Shinnenshiyou Syst Kenkyusho:Kk 直接噴射式ディーゼル機関
US5326992A (en) 1992-07-29 1994-07-05 The United States Of America As Represented By The Secretary Of The Navy Silicon carbide and SiCAlN heterojunction bipolar transistor structures
US5378641A (en) 1993-02-22 1995-01-03 Micron Semiconductor, Inc. Electrically conductive substrate interconnect continuity region and method of forming same with an angled implant
US5341016A (en) 1993-06-16 1994-08-23 Micron Semiconductor, Inc. Low resistance device element and interconnection structure
JP2713165B2 (ja) 1994-05-19 1998-02-16 日本電気株式会社 半導体装置の製造方法
US5480814A (en) 1994-12-27 1996-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Process of making a polysilicon barrier layer in a self-aligned contact module
JPH08213343A (ja) 1995-01-31 1996-08-20 Sony Corp 半導体装置およびその製造方法
US5656519A (en) 1995-02-14 1997-08-12 Nec Corporation Method for manufacturing salicide semiconductor device
US5508212A (en) 1995-04-27 1996-04-16 Taiwan Semiconductor Manufacturing Co. Salicide process for a MOS semiconductor device using nitrogen implant of titanium
JPH08306700A (ja) 1995-04-27 1996-11-22 Nec Corp 半導体装置及びその製造方法
US5888903A (en) 1995-06-07 1999-03-30 Texas Instruments Incorporated Self-aligned silicide process
US5756394A (en) 1995-08-23 1998-05-26 Micron Technology, Inc. Self-aligned silicide strap connection of polysilicon layers
US5656546A (en) 1995-08-28 1997-08-12 Taiwan Semiconductor Manufacturing Company Ltd Self-aligned tin formation by N2+ implantation during two-step annealing Ti-salicidation
US6096638A (en) 1995-10-28 2000-08-01 Nec Corporation Method for forming a refractory metal silicide layer
JP3734559B2 (ja) * 1996-03-15 2006-01-11 富士通株式会社 半導体装置の製造方法
US5670404A (en) 1996-06-21 1997-09-23 Industrial Technology Research Institute Method for making self-aligned bit line contacts on a DRAM circuit having a planarized insulating layer
US6183565B1 (en) 1997-07-08 2001-02-06 Asm International N.V Method and apparatus for supporting a semiconductor wafer during processing
US6177761B1 (en) 1996-07-17 2001-01-23 Teledyne Lighting And Display Products, Inc. LED with light extractor
US5945350A (en) 1996-09-13 1999-08-31 Micron Technology, Inc. Methods for use in formation of titanium nitride interconnects and interconnects formed using same
US5683922A (en) 1996-10-04 1997-11-04 United Microelectronics Corporation Method of fabricating a self-aligned contact
JP2950272B2 (ja) 1997-01-24 1999-09-20 日本電気株式会社 半導体薄膜の製造方法
JP3938610B2 (ja) 1997-03-14 2007-06-27 昌之 都田 基体の浮上装置並びに基体浮上型の加熱装置及び製膜装置
EP2234142A1 (en) 1997-04-11 2010-09-29 Nichia Corporation Nitride semiconductor substrate
US5856237A (en) 1997-10-20 1999-01-05 Industrial Technology Research Institute Insitu formation of TiSi2/TiN bi-layer structures using self-aligned nitridation treatment on underlying CVD-TiSi2 layer
JP2967477B2 (ja) 1997-11-26 1999-10-25 日本電気株式会社 半導体装置の製造方法
JPH11176822A (ja) * 1997-12-05 1999-07-02 Hitachi Ltd 半導体処理装置
TW439151B (en) 1997-12-31 2001-06-07 Samsung Electronics Co Ltd Method for forming conductive layer using atomic layer deposition process
US6147405A (en) 1998-02-19 2000-11-14 Micron Technology, Inc. Asymmetric, double-sided self-aligned silicide and method of forming the same
US6221711B1 (en) 1998-05-11 2001-04-24 Micron Technology, Inc. Methods of electrically contacting to conductive plugs, methods of forming contact openings, and methods of forming dynamic random access memory circuitry
US6365453B1 (en) 1999-06-16 2002-04-02 Micron Technology, Inc. Method and structure for reducing contact aspect ratios
US6190453B1 (en) 1999-07-14 2001-02-20 Seh America, Inc. Growth of epitaxial semiconductor material with improved crystallographic properties
US6345150B1 (en) * 1999-11-30 2002-02-05 Wafermasters, Inc. Single wafer annealing oven
US6316795B1 (en) 2000-04-03 2001-11-13 Hrl Laboratories, Llc Silicon-carbon emitter for silicon-germanium heterojunction bipolar transistors
US6372584B1 (en) 2000-08-01 2002-04-16 Advanced Micro Devices, Inc. Method for making raised source/drain regions using laser
JP3557457B2 (ja) 2001-02-01 2004-08-25 東北大学長 SiC膜の製造方法、及びSiC多層膜構造の製造方法
KR101027485B1 (ko) 2001-02-12 2011-04-06 에이에스엠 아메리카, 인코포레이티드 반도체 박막 증착을 위한 개선된 공정
US6743721B2 (en) * 2002-06-10 2004-06-01 United Microelectronics Corp. Method and system for making cobalt silicide
US6998305B2 (en) 2003-01-24 2006-02-14 Asm America, Inc. Enhanced selectivity for epitaxial deposition
US7153772B2 (en) * 2003-06-12 2006-12-26 Asm International N.V. Methods of forming silicide films in semiconductor devices
US7208362B2 (en) 2003-06-25 2007-04-24 Texas Instruments Incorporated Transistor device containing carbon doped silicon in a recess next to MDD to create strain in channel
JP4274916B2 (ja) 2003-11-28 2009-06-10 株式会社日立製作所 ディスクアレイ装置
US7816236B2 (en) 2005-02-04 2010-10-19 Asm America Inc. Selective deposition of silicon-containing films
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11514154A (ja) * 1996-07-08 1999-11-30 アドバンスド・セミコンダクター・マテリアルズ・インターナシヨナル・エヌ・ブイ ウエーファーの形の半導体基質を無接触的に処理する方法および装置
JP2000208437A (ja) * 1999-01-08 2000-07-28 United Microelectronics Corp ケイ化物層の形成方法
WO2001050502A1 (en) * 1999-12-29 2001-07-12 Asm International N.V. Method and apparatus for the treatment of substrates
JP2003519909A (ja) * 1999-12-29 2003-06-24 エイエスエム・インターナシヨナル・エヌ・ブイ 基板処理のための方法および装置
JP2002176010A (ja) * 2000-09-22 2002-06-21 Samsung Electronics Co Ltd 半導体装置及びそのメタルシリサイド層形成方法
JP2003037084A (ja) * 2001-06-04 2003-02-07 Chartered Semiconductor Mfg Ltd 集積回路の製造中にジケイ化コバルト膜を形成する方法
JP2003022984A (ja) * 2002-05-31 2003-01-24 Sharp Corp 半導体装置の製造方法

Also Published As

Publication number Publication date
KR20040107434A (ko) 2004-12-20
US7691750B2 (en) 2010-04-06
US7153772B2 (en) 2006-12-26
US20050017310A1 (en) 2005-01-27
JP2005039225A (ja) 2005-02-10
KR100886260B1 (ko) 2009-02-27
US20070059932A1 (en) 2007-03-15

Similar Documents

Publication Publication Date Title
JP4562429B2 (ja) 半導体デバイス中でのシリサイドフィルムの形成方法
US6797602B1 (en) Method of manufacturing a semiconductor device with supersaturated source/drain extensions and metal silicide contacts
US6777275B1 (en) Single anneal for dopant activation and silicide formation
US6743721B2 (en) Method and system for making cobalt silicide
US20070004203A1 (en) Technique for forming nickel silicide by depositing nickel from a gaseous precursor
US6380057B1 (en) Enhancement of nickel silicide formation by use of nickel pre-amorphizing implant
TWI305670B (en) Salicide process and method of fabricating semiconductor device using the same
JP2005167249A (ja) 熱的安定性に優れるシリサイド膜の形成方法、その方法で形成されたシリサイド膜を備える半導体素子と半導体メモリ素子およびそれらの素子の製造方法
JP3469595B2 (ja) 半導体装置におけるシリサイドプラグの形成方法
US20110212589A1 (en) Semiconductor device manufacturing method
JP2008500728A (ja) シリサイド層を有する半導体素子の製造方法
US6432805B1 (en) Co-deposition of nitrogen and metal for metal silicide formation
JP3175725B2 (ja) 半導体装置の製造方法
JP3878545B2 (ja) 半導体集積回路装置の製造方法
US20060160361A1 (en) Nickel salicide process and method of fabricating a semiconductor device using the same
JP3873008B2 (ja) 半導体素子のシリサイド膜の形成方法
KR100562710B1 (ko) 반도체 장치의 제조 방법
JP3639009B2 (ja) Tiシリサイド層を有する半導体装置の製造方法
US6660621B1 (en) Method of forming ultra-shallow junctions in a semiconductor wafer with silicon layer deposited from a gas precursor to reduce silicon consumption during salicidation
US20050092598A1 (en) Sputtering process with temperature control for salicide application
JP3334692B2 (ja) 半導体装置の製造方法
KR20040072790A (ko) 반도체 소자의 트랜지스터 제조 방법
JPH09293722A (ja) 半導体装置の製造方法
JPH09199610A (ja) 半導体装置及びその製造方法
JP2000036466A (ja) 半導体薄膜の形成方法、半導体装置およびその製造方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050422

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090114

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20090410

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20090415

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090514

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20090807

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20090807

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20091211

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20091211

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100413

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100615

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100706

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100727

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130806

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4562429

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250