JP3542118B2 - 非反射物質層の形成及びこれを利用した半導体製造方法、及びトランジスタゲートスタックの形成方法 - Google Patents

非反射物質層の形成及びこれを利用した半導体製造方法、及びトランジスタゲートスタックの形成方法 Download PDF

Info

Publication number
JP3542118B2
JP3542118B2 JP2000569431A JP2000569431A JP3542118B2 JP 3542118 B2 JP3542118 B2 JP 3542118B2 JP 2000569431 A JP2000569431 A JP 2000569431A JP 2000569431 A JP2000569431 A JP 2000569431A JP 3542118 B2 JP3542118 B2 JP 3542118B2
Authority
JP
Japan
Prior art keywords
layer
deposition
transistor gate
metal silicide
gate stack
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2000569431A
Other languages
English (en)
Other versions
JP2002524873A (ja
Inventor
ガーテジ, エス. サンデュー,
スジット シャラン,
Original Assignee
マイクロン テクノロジー, インク.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by マイクロン テクノロジー, インク. filed Critical マイクロン テクノロジー, インク.
Publication of JP2002524873A publication Critical patent/JP2002524873A/ja
Application granted granted Critical
Publication of JP3542118B2 publication Critical patent/JP3542118B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0277Electrolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • H01L21/28044Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer
    • H01L21/28052Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer the conductor comprising a silicide layer formed by the silicidation reaction of silicon with a metal layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3145Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers formed by deposition from a gas or vapour

Description

【0001】
【発明の属する技術分野】
本発明は、非反射物質層の形成方法及び利用方法、及びトランジスタゲートスタックの形成方法に関する。
【0002】
【従来の技術】
半導体製造においては、基板の上にフォトレジスト層を設けることが多い。その後、フォトレジスト層の一部分は、マスクされた光源を介して露光される。マスクは、フォトレジスト層内に作られるパターンを画定するための、透明及び不透明領域を含む。露光されるフォトレジスト層の領域は、溶媒に可溶性又は不溶性のいずれかであるようにされる。もし露光された領域が可溶性であれば、マスクの陽画像がフォトレジストに作られる。従って、フォトレジストは陽フォトレジストと呼ばれる。他方、もし露光されなかった領域が溶媒で溶解されなければ、陰画像が生ずる。従って、フォトレジストは、陰フォトレジストと呼ばれる。
【0003】
照射光にフォトレジストを晒すときに起こり得る障害は、照射光波がフォトレジストを介してフォトレジストの下の層に伝わり、そして次に、フォトレジストを介して上に戻って反射し、その結果、フォトレジストを介して伝わってくる他の光波と相互に影響し合うことである。反射光波は、フォトレジストの中で光強度の周期的な変動が生ずるように、フォトレジストを介して伝わる他の光波と、強め合って及び/又は弱め合って干渉する。このような光強度の変動は、フォトレジストにその厚み全体に不均一なエネルギ量を与える結果となる。不均一な量は、フォトレジストに転写されるマスクされたパターンの精度を悪くする。従って、フォトレジスト層の下の層によって反射される照射光波を抑制する方法を開発することが望まれる。
【0004】
反射光波を抑制するのにある程度成功しこれまで用いられてきた方法の一つは、フォトレジスト層の下に非反射物質を形成するものである。非反射物質は、通常、照射光を吸収する物質であり、従って、そのため照射光の反射を抑える。非反射物質は、照射光の種々の波長を変動する効率をもって吸収する。非反射物質として使用するのに利用可能な材料の数は限定されている。従って、非反射物質に代わるものとして、吸収される波長、及び波長が吸収される効率を変化させる代替方法を開発することが望まれる。
【0005】
非反射コーティング物質の特徴的なタイプとしては、堆積された非反射コーティング(DARC)がある。例示的なDARCは、Si であり、xは約40から約60で、yは約29から約45で、zは約10から約16である。DARCは、例えば、Si 50 37 13 からなる。DARCは、例えば、400℃、約4Torrから約6.5Torrの圧力下で、SiH 及びN Oを前駆物質として化学気相堆積により基板上に形成される。DARC物質は、堆積の間、反応室内でプラズマを与えた状態又は与えない状態で堆積される。DARC膜を利用することの典型的な目的は、フォトレジストの上に重なる層に達する反射される照射光量を、DARC膜内への入射照射光量の10%以下に減らすことである。
【0006】
従来のDARC物質を利用する製造方法を、図1の半導体ウェーハ片10を参照して説明する。ウェーハ片10は基板12を含む。基板12は、例えば、バックグランドp型ドーパントが低濃度にドープされた単結晶シリコンからなる。請求の範囲の解釈を補助するために、用語「半導体基板」は、半導体ウェーハ(単独物質又はその上に他の物質を含む集合物質)や、半導体物質層(単独物質又は他の物質を含む集合物質)等のバルク半導体物質を含むがこれに限定されない半導体物質からなるあらゆる構造を意味するように定義される。用語「基板」は、上述の半導体基板を含むがこれに限定されないあらゆる支持構造体を言う。
【0007】
ゲート絶縁層14、ポリシリコン層16、及びシリサイド層18が、基板12の上に形成される。ゲート絶縁層14は、例えば二酸化シリコンからなり、ポリシリコン層16は、例えば導電性ドープトポリシリコンからなり、シリサイド層18は、例えばケイ化タングステン又はケイ化チタンからなる。層14,16,18は、最終的にはトランジスタゲート構造体へパターンニングされる。
【0008】
非反射コーティング層20は、シリサイド層18の上に設けられ、フォトレジスト層22は、非反射コーティング層20の上に設けられる。非反射コーティング層20は、例えば、Si等の無機質層からなる。実際上は、層は実質的に無機質であれば良く、用語「実質的に無機質」とは、層がカーボンを少量(1%以下)含むものであって良いことを意味している。
【0009】
シリサイド層18は、その層がトランジスタゲートとして利用されることに先立って、結晶構造及びシリサイド層の導電率の向上のためにアニール化されることが好ましい。シリサイド層18のアニール化は、例えば850℃の温度、1気圧の圧力で30分間行われる。
【0010】
DARC物質20は、典型的には、アニール化の間に層18をガス状の酸素から保護するために、アニール化の前にシリサイド層18の上に設けられる。もしガス状の酸素がアニール化の間、層18に影響すると、酸素は層18の一部を酸化させ、層18の導電性に良くない影響を与える。残念ながら、アニール化条件はDARC物質20の光学特性に悪い影響を及ぼす。特に、DARC物質20は、反射率係数(n)及び吸光係数(エネルギ吸収係数)(k)で表される光学特性を有する。シリサイド層18の導電性を向上するアニール化条件は、層20の「n」及び「k」のどちらか一方又は両方を変える。層20の物質の化学量論は、物質の「n」及び「k」を、反射光がフォトレジスト層22に達する前に実質的に抑えるような適当なパラメータとなるように、典型的には慎重に選択される。アニール化条件の「n」及び「k」の影響は、最適に調整されたそのようなパラメータを範囲外に押しやるものである。従って、物質の「n」及び「k」が、アニール化条件が引き起こす変化にも耐え得るような、DARC物質の形成方法を開発することが望まれる。
【0011】
【発明の開示】
第一の態様において、本発明は、シリコン、窒素及び酸素含有固体層基板上への堆積の間に、ガス状のシリコン、窒素及び酸素が高密度プラズマに晒されるような半導体製造方法に関する。
【0012】
もう一つの態様において、本発明は、フォトリソグラフ法による半導体製造方法に関する。金属シリサイド層が基板の上に形成される。非反射物質層が、高密度プラズマを利用して金属シリサイドの上に堆積される。フォトレジストの層が非反射物質層の上に形成される。フォトレジストの層は、フォトリソグラフ法によりパターンニングされる。
【0013】
更に別の態様において、本発明は、トランジスタゲートスタック形成方法に関する。ポリシリコン層が基板の上に形成される。金属シリサイド層がポリシリコン層の上に形成される。非反射物質層が、高密度プラズマを利用して金属シリサイドの上に堆積される。フォトレジストの層は非反射物質層の上に形成される。フォトレジストの層が、フォトレジストの層からパターンニングされたマスキング層を形成するために、フォトリソグラフ法によりパターンニングされる。非反射物質層、金属シリサイド層及びポリシリコン層をトランジスタゲートスタックへパターンニングするために、パターンが、パターンニングされたマスキング層から非反射物質層、金属シリサイド層及びポリシリコン層に転写される。
【0014】
【発明の実施の形態】
図2は、本発明の実施例の予備処理工程における半導体ウェーハ片50を示している。ウェーハ片50は、基板52、ゲート絶縁層54、ポリシリコン層56、及びシリサイド層58からなる。基板52、ゲート絶縁層54、ポリシリコン層56、及びシリサイド層58の物質は、図の従来例の構造において、基板12、ゲート絶縁層14、ポリシリコン層16、及びシリサイド層18のそれぞれに対して利用したものと同一の物質とすることができる。
【0015】
DARC物質層60はシリサイド層58の上に形成される。従来技術の方法とは対照的に、DARC物質60は、高密度プラズマ堆積法を用いて形成される。このような堆積は、反応室内で起こる。請求の範囲の解釈を補助するために、「高密度プラズマ」は、1010イオン/cm と同じかそれより高い密度を有するプラズマと定義される。上に層60が堆積されたウェーハ片50の一部分は、堆積中、好ましくは約300℃から約800℃、一つの好ましい例としては約600℃の温度に維持される。ウェーハ片50の温度は、堆積中、ウェーハを冷却するためにウェーハの裏面に対してヘリウムを流入することで制御される。温度が高ければ高い程、より高濃密な層60を形成することができる。このようなより高濃密な層60は、アニール化条件に対して高濃密ではない層60よりもより安定する。層60の堆積の間の反応室内の好ましい圧力範囲は、約1mTorrから100mTorrまでの範囲である。高密度プラズマ堆積に利用される供給ガスは、SiH ,N ,O 及びアルゴンからなる。
【0016】
本発明の方法で利用される例示的な反応室は、反応装置100の一部として図6に概略的に示される。反応装置100は、電源104に接続されたコイル102を含む。コイル102は、反応室106を取り巻き、反応室106内にプラズマが発生するように構成されている。ウェーハホルダ(チャック)108が室106内に設けられ、半導体ウェーハ110を保持する。ウェーハホルダ108は、電源112と電気的に結合されている。電源104及び112は別個の電源であるか、又は単一の電源から別個の供給装置を介して供給する構成とすることもできる。電源104からの電力は、例えば約2000ワットであり、約13.6メガヘルツの周波数からなる。電源112からウェーハ110への電力は、好ましくは約200ワットと同じかそれよりも低くバイアスされ、より好ましくは、約100ワットにバイアスされる。実際上は、バイアス電力は、ウェーハ110自身のところではなくて、ウェーハ110を保持するチャック108のところで典型的には計測される。
【0017】
反応室106内への供給ガスの例示的な流量は、SiH (100基準立方センチメートル/分(sccm)),N (150sccm),及びアルゴン(200sccm)である。
【0018】
本発明の高圧力プラズマ処理工程における層60(図2参照)の半導体ウェーハ上への堆積の間は、堆積及びエッチング作用の両方が同時に起こり、堆積対エッチング比をもたらす。堆積速度がエッチング速度を上回るため、実際にはウェーハ上へ物質が堆積することになる。堆積速度は、堆積中に基板のところでバイアスを与えないことで計算され、他方、エッチング速度は、反応装置106内へ堆積前駆物質が供給されないときのエッチング速度を決定することで計算される。例えば基板110へのバイアス電力を調整することによる堆積対エッチング比の調節は、堆積された層60(図2参照)の「n」及び「k」の値に影響を及ぼすように利用することができる。
【0019】
再度図2を参照すると、シリサイド層58は、DARC物質60の堆積後アニール化される。本発明の高圧力プラズマ堆積法は、シリサイド層58のアニール化の間の物質60の光学的特性(例えば「n」及び「k」の値)の変動を減らすことが可能である。例えば、本明細書の「従来の技術」の欄で説明した条件を利用したアニール化により引き起こされる「n」及び「k」の値の変動は、10%よりも少ない変動に制限することが可能である。
【0020】
図3を参照すると、DARC物質60の上にフォトレジスト層62が形成される。そしてフォトレジスト層62は、該層62の一部を除去し、そして図4に示す構造を形成するために、マスクされた光源と溶剤に晒される。
【0021】
そして、図5に示すゲートスタック70を形成するために、層62から、下にある層54,56,58,60へパターンが転写される。本発明はまた、ゲートスタックが層60,58,56を含むもの、及び層54がパターンニングされていないような実施例にも関連するものである。フォトレジスト層62から下にある層54,56,58,60へのパターンの転写の方法は、プラズマエッチングである。ゲートスタック70の形成後、フォトレジスト層62は除去される。また、ゲートスタックからトランジスタゲート構造を形成するために、ソース及びドレイン領域がゲートスタック70の近傍に埋め込まれ、側壁スペーサがゲートスタック70の側壁に沿って形成される。
【図面の簡単な説明】
【図1】図1は、従来の半導体ウェーハ片の概略断面図である。
【図2】図2は、本発明の方法の予備処理工程における半導体ウェーハ片の概略断面図である。
【図3】図3は、図2が示す処理工程に続く処理工程における図2のウェーハ片の断面図である。
【図4】図4は、図3が示す処理工程に続く処理工程における図2のウェーハ片の断面図である。
【図5】図5は、図4が示す処理工程に続く処理工程における図2のウェーハ片の断面図である。
【図6】図6は、本発明の方法で利用し得る反応室の概略断面図である。

Claims (21)

  1. 半導体製造方法であって、該方法は、
    基板の上にシリコン、窒素及び酸素からなる層を堆積し、該層の堆積の間、シリコン、窒素及び酸素を高密度プラズマに晒し、堆積された層は「n」値及び「k」値により特徴付けられ、
    堆積された層を、少なくとも約850℃の温度を含むアニール化条件に晒し、
    「n」及び「k」値は、アニール化条件に晒される間、10%よりも少なく変化する、
    ことを特徴とする半導体製造方法。
  2. 請求項1に記載の半導体製造方法であって、前記アニール化条件は、更に、少なくとも約1気圧の圧力を含むことを特徴とする半導体製造方法。
  3. 請求項1に記載の半導体製造方法であって、前記アニール化条件は、更に、少なくとも約1気圧の圧力、及び少なくとも約30分の露出時間を含むことを特徴とする半導体製造方法。
  4. 半導体製造方法であって、該方法は、
    基板の上のシリコン、窒素及び酸素含有固体層の堆積の間、ガス状のシリコン、窒素及び酸素を高密度プラズマに晒し、シリコン、窒素及び酸素含有固体層は「n」値及び「k」値により特徴付けられ、
    シリコン、窒素及び酸素含有固体層の堆積の前に、基板の上に金属シリサイド層を形成し、
    シリコン、窒素及び酸素含有固体層、並びに金属シリサイド層を、少なくとも約850℃の温度を含むような、金属シリサイドがアニール化される条件に付
    「n」及び「k」値は、アニール化条件に付される間、10%よりも少なく変化する、
    ことを特徴とする半導体製造方法。
  5. 請求項4に記載の半導体製造方法であって、前記シリコン、窒素及び酸素含有固体層は、金属シリサイド層と物理的に接触していることを特徴とする半導体製造方法。
  6. 半導体製造方法であって、該方法は、
    基板の上に金属シリサイド層を形成し、
    SiH,N及びOからなる供給ガスを利用して、金属シリサイドの上に非反射物質層を反応装置内で堆積し、
    堆積の間、非反射物質層を、基板が約100ワットの電力にバイアスされ、且つプラズマが約2000ワットの電力で誘起される高密度プラズマに晒し、
    非反射物質層の上にフォトレジストの層を形成し、
    フォトレジストの層をフォトリソグラフ法によりパターンニングする、
    ことを特徴とする半導体製造方法。
  7. 請求項6に記載の半導体製造方法であって、前記供給ガスは、更に、Arを含むことを特徴とする半導体製造方法。
  8. 請求項6に記載の半導体製造方法であって、該方法は、更に、堆積の間、基板の少なくとも一部を、約300℃から約800℃の温度に維持することを特徴とする半導体製造方法。
  9. 請求項6に記載の半導体製造方法であって、前記堆積は反応装置内で起き、堆積の間の反応装置内の温度は約300℃から約800℃であることを特徴とする半導体製造方法。
  10. 請求項6に記載の半導体製造方法であって、前記堆積は反応装置内で起き、堆積の間の反応装置内の圧力は、約1mTorrから約100mTorrであることを特徴とする半導体製造方法。
  11. 請求項6に記載の半導体製造方法であって、前記堆積は反応装置内で起き、堆積の間の反応装置内の温度は、約300℃から約800℃であり、堆積の間の反応装置内の圧力は、約1mTorrから約100mTorrであることを特徴とする半導体製造方法。
  12. 請求項6に記載の半導体製造方法は、更に、
    非反射物質層が金属シリサイド層の上にある間に、金属シリサイド層をアニール化する、
    ことを特徴とする半導体製造方法。
  13. 請求項6に記載の半導体製造方法であって、前記堆積された非反射物質層は、シリコン、窒素及び酸素からなることを特徴とする半導体製造方法。
  14. 請求項6に記載の半導体製造方法であって、前記堆積された非反射物質層は、金属シリサイド層と物理的に接触していることを特徴とする半導体製造方法。
  15. トランジスタゲートスタック形成方法であって、該方法は、
    基板の上にポリシリコン層を形成し、
    ポリシリコン層の上に金属シリサイド層を形成し、
    金属シリサイドの上に非反射物質層を反応装置内で堆積し、
    堆積の間、基板が約100ワットの電力にバイアスされ、且つプラズマが約2000ワットの電力で誘起される高密度プラズマに非反射物質層を晒し、
    非反射物質層の上にフォトレジストの層を形成し、
    フォトレジストの層からパターンニングされたマスキング層を形成するようにフォトレジストの層をフォトリソグラフ法によりパターンニングし、
    非反射物質層、金属シリサイド層及びポリシリコン層をトランジスタゲートスタックへパターンニングするために、パターンニングされたマスク層から非反射物質層、金属シリサイド層及びポリシリコン層にパターンが転写され、
    非反射物質層が金属シリサイド層の上にある間に、金属シリサイド層をアニール化する、
    ことを特徴とするトランジスタゲートスタック形成方法。
  16. 請求項1に記載のトランジスタゲートスタック形成方法であって、前記堆積は、約300℃から約800℃の温度で非反射物質層を堆積することを特徴とするトランジスタゲートスタック形成方法。
  17. 請求項1に記載のトランジスタゲートスタック形成方法であって、前記堆積は、反応装置内で起き、堆積の間の反応装置内の温度は、約300℃から約800℃であることを特徴とするトランジスタゲートスタック形成方法。
  18. 請求項1に記載のトランジスタゲートスタック形成方法であって、前記堆積は、反応装置内で起き、堆積の間の反応装置内の圧力は約1mTorrから約100mTorrであることを特徴とするトランジスタゲートスタック形成方法。
  19. 請求項1に記載のトランジスタゲートスタック形成方法であって、前記堆積は、反応装置内で起き、堆積の間の反応装置内の温度は約300℃から約800℃であり、堆積の間の反応装置内の圧力は約1mTorrから約100mTorrであることを特徴とするトランジスタゲートスタック形成方法。
  20. 請求項1に記載のトランジスタゲートスタック形成方法であって、前記堆積された非反射物質層は、シリコン、窒素及び酸素からなることを特徴とするトランジスタゲートスタック形成方法。
  21. 請求項1に記載のトランジスタゲートスタック形成方法であって、前記堆積された非反射物質層は、金属シリサイド層と物理的に接触していることを特徴とするトランジスタゲートスタック形成方法。
JP2000569431A 1998-09-03 1999-08-31 非反射物質層の形成及びこれを利用した半導体製造方法、及びトランジスタゲートスタックの形成方法 Expired - Fee Related JP3542118B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/146,841 US6268282B1 (en) 1998-09-03 1998-09-03 Semiconductor processing methods of forming and utilizing antireflective material layers, and methods of forming transistor gate stacks
US09/146,841 1998-09-03
PCT/US1999/020030 WO2000014781A1 (en) 1998-09-03 1999-08-31 Semiconductor processing methods of forming and utilizing antireflective material layers, and methods of forming transistor gate stacks

Publications (2)

Publication Number Publication Date
JP2002524873A JP2002524873A (ja) 2002-08-06
JP3542118B2 true JP3542118B2 (ja) 2004-07-14

Family

ID=22519203

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000569431A Expired - Fee Related JP3542118B2 (ja) 1998-09-03 1999-08-31 非反射物質層の形成及びこれを利用した半導体製造方法、及びトランジスタゲートスタックの形成方法

Country Status (5)

Country Link
US (3) US6268282B1 (ja)
JP (1) JP3542118B2 (ja)
KR (1) KR100423560B1 (ja)
AU (1) AU5590799A (ja)
WO (1) WO2000014781A1 (ja)

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6800378B2 (en) * 1998-02-19 2004-10-05 3M Innovative Properties Company Antireflection films for use with displays
US6274292B1 (en) 1998-02-25 2001-08-14 Micron Technology, Inc. Semiconductor processing methods
US7804115B2 (en) 1998-02-25 2010-09-28 Micron Technology, Inc. Semiconductor constructions having antireflective portions
US6268282B1 (en) * 1998-09-03 2001-07-31 Micron Technology, Inc. Semiconductor processing methods of forming and utilizing antireflective material layers, and methods of forming transistor gate stacks
US6281100B1 (en) 1998-09-03 2001-08-28 Micron Technology, Inc. Semiconductor processing methods
US6828683B2 (en) * 1998-12-23 2004-12-07 Micron Technology, Inc. Semiconductor devices, and semiconductor processing methods
US7235499B1 (en) 1999-01-20 2007-06-26 Micron Technology, Inc. Semiconductor processing methods
US6291361B1 (en) * 1999-03-24 2001-09-18 Conexant Systems, Inc. Method and apparatus for high-resolution in-situ plasma etching of inorganic and metal films
US6440860B1 (en) * 2000-01-18 2002-08-27 Micron Technology, Inc. Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride
US7132219B2 (en) * 2001-02-02 2006-11-07 Brewer Science Inc. Polymeric antireflective coatings deposited by plasma enhanced chemical vapor deposition
US6573175B1 (en) 2001-11-30 2003-06-03 Micron Technology, Inc. Dry low k film application for interlevel dielectric and method of cleaning etched features
US6852474B2 (en) * 2002-04-30 2005-02-08 Brewer Science Inc. Polymeric antireflective coatings deposited by plasma enhanced chemical vapor deposition
KR100457844B1 (ko) * 2002-08-27 2004-11-18 삼성전자주식회사 반도체 장치의 식각 방법
US6853043B2 (en) * 2002-11-04 2005-02-08 Applied Materials, Inc. Nitrogen-free antireflective coating for use with photolithographic patterning
US20050255410A1 (en) * 2004-04-29 2005-11-17 Guerrero Douglas J Anti-reflective coatings using vinyl ether crosslinkers
US20070207406A1 (en) * 2004-04-29 2007-09-06 Guerrero Douglas J Anti-reflective coatings using vinyl ether crosslinkers
KR100591133B1 (ko) 2004-12-15 2006-06-19 동부일렉트로닉스 주식회사 불화아르곤용 포토레지스트를 이용한 게이트 패턴 형성 방법
US7914974B2 (en) 2006-08-18 2011-03-29 Brewer Science Inc. Anti-reflective imaging layer for multiple patterning process
JP4462273B2 (ja) * 2007-01-23 2010-05-12 セイコーエプソン株式会社 光学物品およびその製造方法
US8283258B2 (en) * 2007-08-16 2012-10-09 Micron Technology, Inc. Selective wet etching of hafnium aluminum oxide films
EP2245512B1 (en) * 2008-01-29 2019-09-11 Brewer Science, Inc. On-track process for patterning hardmask by multiple dark field exposures
US9640396B2 (en) 2009-01-07 2017-05-02 Brewer Science Inc. Spin-on spacer materials for double- and triple-patterning lithography
CN111149039B (zh) 2017-10-05 2022-12-23 昕诺飞控股有限公司 灯具和照明方法

Family Cites Families (212)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2553314A (en) 1944-07-01 1951-05-15 Gen Electric Method of rendering materials water repellent
US4158717A (en) 1977-02-14 1979-06-19 Varian Associates, Inc. Silicon nitride film and method of deposition
US4523214A (en) 1981-07-03 1985-06-11 Fuji Photo Film Co., Ltd. Solid state image pickup device utilizing microcrystalline and amorphous silicon
US4562091A (en) 1982-12-23 1985-12-31 International Business Machines Corporation Use of plasma polymerized orgaosilicon films in fabrication of lift-off masks
US4444617A (en) 1983-01-06 1984-04-24 Rockwell International Corporation Reactive ion etching of molybdenum silicide and N+ polysilicon
US4474975A (en) 1983-05-09 1984-10-02 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Process for producing tris (N-methylamino) methylsilane
US4600671A (en) 1983-09-12 1986-07-15 Canon Kabushiki Kaisha Photoconductive member having light receiving layer of A-(Si-Ge) and N
US4702936A (en) 1984-09-20 1987-10-27 Applied Materials Japan, Inc. Gas-phase growth process
US4552783A (en) 1984-11-05 1985-11-12 General Electric Company Enhancing the selectivity of tungsten deposition on conductor and semiconductor surfaces
US4592129A (en) 1985-04-01 1986-06-03 Motorola, Inc. Method of making an integral, multiple layer antireflection coating by hydrogen ion implantation
JP2635021B2 (ja) 1985-09-26 1997-07-30 宣夫 御子柴 堆積膜形成法及びこれに用いる装置
US4648904A (en) 1986-02-14 1987-03-10 Scm Corporation Aqueous systems containing silanes for rendering masonry surfaces water repellant
US6087267A (en) 1986-03-04 2000-07-11 Motorola, Inc. Process for forming an integrated circuit
US4695859A (en) 1986-10-20 1987-09-22 Energy Conversion Devices, Inc. Thin film light emitting diode, photonic circuit employing said diode imager employing said circuits
US4764247A (en) 1987-03-18 1988-08-16 Syn Labs, Inc. Silicon containing resists
JPS63316476A (ja) 1987-06-18 1988-12-23 Seiko Instr & Electronics Ltd 半導体装置およびその製造方法
US4755478A (en) 1987-08-13 1988-07-05 International Business Machines Corporation Method of forming metal-strapped polysilicon gate electrode for FET device
US4870470A (en) 1987-10-16 1989-09-26 International Business Machines Corporation Non-volatile memory cell having Si rich silicon nitride charge trapping layer
US4863755A (en) 1987-10-16 1989-09-05 The Regents Of The University Of California Plasma enhanced chemical vapor deposition of thin films of silicon nitride from cyclic organosilicon nitrogen precursors
US4833096A (en) 1988-01-19 1989-05-23 Atmel Corporation EEPROM fabrication process
US4805683A (en) 1988-03-04 1989-02-21 International Business Machines Corporation Method for producing a plurality of layers of metallurgy
US4940509A (en) 1988-03-25 1990-07-10 Texas Instruments, Incorporated Isotropic etchant for capped silicide processes
JPH02285638A (ja) 1989-04-27 1990-11-22 Toshiba Corp 半導体装置
US5270267A (en) 1989-05-31 1993-12-14 Mitel Corporation Curing and passivation of spin on glasses by a plasma process wherein an external polarization field is applied to the substrate
US4910160A (en) 1989-06-06 1990-03-20 National Semiconductor Corporation High voltage complementary NPN/PNP process
US5061509A (en) 1989-08-25 1991-10-29 Kabushiki Kaisha Toshiba Method of manufacturing polyimide thin film and method of manufacturing liquid crystal orientation film of polyimide
US4971655A (en) 1989-12-26 1990-11-20 Micron Technology, Inc. Protection of a refractory metal silicide during high-temperature processing using a dual-layer cap of silicon dioxide and silicon nitride
US5244537A (en) 1989-12-27 1993-09-14 Honeywell, Inc. Fabrication of an electronic microvalve apparatus
US4992306A (en) 1990-02-01 1991-02-12 Air Products Abd Chemicals, Inc. Deposition of silicon dioxide and silicon oxynitride films using azidosilane sources
US5140390A (en) 1990-02-16 1992-08-18 Hughes Aircraft Company High speed silicon-on-insulator device
JP2814009B2 (ja) 1990-06-05 1998-10-22 三菱電機株式会社 半導体装置の製造方法
US5219613A (en) 1990-06-13 1993-06-15 Wacker-Chemitronic Gesellschaft Fur Elektronik-Grundstoffe Mbh Process for producing storage-stable surfaces of polished silicon wafers
JP2637265B2 (ja) 1990-06-28 1997-08-06 株式会社東芝 窒化珪素膜の形成方法
US5034348A (en) 1990-08-16 1991-07-23 International Business Machines Corp. Process for forming refractory metal silicide layers of different thicknesses in an integrated circuit
US5356515A (en) 1990-10-19 1994-10-18 Tokyo Electron Limited Dry etching method
EP0519079B1 (en) 1991-01-08 1999-03-03 Fujitsu Limited Process for forming silicon oxide film
US5302366A (en) 1991-03-28 1994-04-12 Phillips Petroleum Company Production of silicon product containing both carbon and nitrogen
CA2056456C (en) 1991-08-14 2001-05-08 Luc Ouellet High performance passivation for semiconductor devices
US5652187A (en) 1991-10-30 1997-07-29 Samsung Electronics Co., Ltd. Method for fabricating doped interlayer-dielectric film of semiconductor device using a plasma treatment
KR940009599B1 (ko) 1991-10-30 1994-10-15 삼성전자 주식회사 반도체 장치의 층간 절연막 형성방법
US5470772A (en) 1991-11-06 1995-11-28 Intel Corporation Silicidation method for contactless EPROM related devices
US5276347A (en) 1991-12-18 1994-01-04 Sgs-Thomson Microelectronics, Inc. Gate overlapping LDD structure
US5472829A (en) 1991-12-30 1995-12-05 Sony Corporation Method of forming a resist pattern by using an anti-reflective layer
US5677111A (en) 1991-12-20 1997-10-14 Sony Corporation Process for production of micropattern utilizing antireflection film
US5472827A (en) 1991-12-30 1995-12-05 Sony Corporation Method of forming a resist pattern using an anti-reflective layer
US5670297A (en) 1991-12-30 1997-09-23 Sony Corporation Process for the formation of a metal pattern
JPH0667019A (ja) 1992-01-17 1994-03-11 Asahi Glass Co Ltd 反射防止層およびその製造方法
US5543654A (en) 1992-01-28 1996-08-06 Thunderbird Technologies, Inc. Contoured-tub fermi-threshold field effect transistor and method of forming same
JPH05275345A (ja) 1992-03-30 1993-10-22 Nippon Sheet Glass Co Ltd プラズマcvd方法およびその装置
EP0572704B1 (en) 1992-06-05 2000-04-19 Semiconductor Process Laboratory Co., Ltd. Method for manufacturing a semiconductor device including method of reforming an insulating film formed by low temperature CVD
JP3262334B2 (ja) 1992-07-04 2002-03-04 トリコン ホルディングズ リミテッド 半導体ウエハーを処理する方法
TW349185B (en) 1992-08-20 1999-01-01 Sony Corp A semiconductor device
US5286661A (en) 1992-08-26 1994-02-15 Motorola, Inc. Method of forming a bipolar transistor having an emitter overhang
DE4231312C2 (de) 1992-09-18 1996-10-02 Siemens Ag Antireflexschicht und Verfahren zur lithografischen Strukturierung einer Schicht
JP2684942B2 (ja) 1992-11-30 1997-12-03 日本電気株式会社 化学気相成長法と化学気相成長装置および多層配線の製造方法
US5429987A (en) 1993-01-25 1995-07-04 Sharp Microelectronics Technology, Inc. Method for profile control of selective metallization
US5312768A (en) 1993-03-09 1994-05-17 Micron Technology, Inc. Integrated process for fabricating raised, source/drain, short-channel transistors
US5397684A (en) 1993-04-27 1995-03-14 International Business Machines Corporation Antireflective polyimide dielectric for photolithography
US5378659A (en) 1993-07-06 1995-01-03 Motorola Inc. Method and structure for forming an integrated circuit pattern on a semiconductor substrate
KR970004447B1 (ko) 1993-09-08 1997-03-27 삼성전자 주식회사 반사방지막 제조 방법 및 이를 이용한 반도체 장치의 제조 방법
KR970007116B1 (ko) 1993-08-31 1997-05-02 삼성전자 주식회사 반도체장치의 절연층 형성방법 및 그 형성장치
JP2641385B2 (ja) 1993-09-24 1997-08-13 アプライド マテリアルズ インコーポレイテッド 膜形成方法
FR2711275B1 (fr) * 1993-10-15 1996-10-31 Intel Corp Procédé automatiquement aligné de contact en fabrication de semi-conducteurs et dispositifs produits.
US5508881A (en) 1994-02-01 1996-04-16 Quality Microcircuits Corporation Capacitors and interconnect lines for use with integrated circuits
KR950034588A (ko) 1994-03-17 1995-12-28 오가 노리오 탄탈계 고유전체재료 및 고유전체막의 형성방법 및 반도체장치
JP3254885B2 (ja) 1994-03-22 2002-02-12 双葉電子工業株式会社 抵抗体の製造方法
KR100366910B1 (ko) 1994-04-05 2003-03-04 소니 가부시끼 가이샤 반도체장치의제조방법
US5441914A (en) * 1994-05-02 1995-08-15 Motorola Inc. Method of forming conductive interconnect structure
US5858880A (en) 1994-05-14 1999-01-12 Trikon Equipment Limited Method of treating a semi-conductor wafer
US5461003A (en) 1994-05-27 1995-10-24 Texas Instruments Incorporated Multilevel interconnect structure with air gaps formed between metal leads
CN1052116C (zh) 1994-06-15 2000-05-03 精工爱普生株式会社 薄膜半导体器件的制造方法
US5536857A (en) 1994-07-05 1996-07-16 Ford Motor Company Single source volatile precursor for SiO2.TiO2 powders and films
KR960005761A (ko) 1994-07-27 1996-02-23 이데이 노부유끼 반도체장치
US5413963A (en) 1994-08-12 1995-05-09 United Microelectronics Corporation Method for depositing an insulating interlayer in a semiconductor metallurgy system
US5482894A (en) 1994-08-23 1996-01-09 Texas Instruments Incorporated Method of fabricating a self-aligned contact using organic dielectric materials
JP3963961B2 (ja) 1994-08-31 2007-08-22 株式会社半導体エネルギー研究所 半導体装置の作製方法
US5554567A (en) 1994-09-01 1996-09-10 Taiwan Semiconductor Manufacturing Company Ltd. Method for improving adhesion to a spin-on-glass
US5439838A (en) 1994-09-14 1995-08-08 United Microelectronics Corporation Method of thinning for EEPROM tunneling oxide device
US5600153A (en) 1994-10-07 1997-02-04 Micron Technology, Inc. Conductive polysilicon lines and thin film transistors
JP3334370B2 (ja) 1994-10-13 2002-10-15 ヤマハ株式会社 半導体デバイス
US5498555A (en) 1994-11-07 1996-03-12 United Microelectronics Corporation Method of making LDD with polysilicon and dielectric spacers
DE19500674A1 (de) 1995-01-12 1996-07-18 Degussa Oberflächenmodifizierte pyrogen hergestellte Mischoxide, Verfahren zu ihrer Herstellung und Verwendung
NO303649B1 (no) 1995-02-03 1998-08-10 Bj Services As Broplugg
JP3348263B2 (ja) 1995-02-08 2002-11-20 富士通株式会社 半導体装置の製造方法
JPH08239241A (ja) 1995-02-28 1996-09-17 Toray Dow Corning Silicone Co Ltd ガラス用撥水処理剤および撥水性ガラス
US5962581A (en) 1995-04-28 1999-10-05 Kabushiki Kaisha Toshiba Silicone polymer composition, method of forming a pattern and method of forming an insulating film
FR2734402B1 (fr) 1995-05-15 1997-07-18 Brouquet Pierre Procede pour l'isolement electrique en micro-electronique, applicable aux cavites etroites, par depot d'oxyde a l'etat visqueux et dispositif correspondant
US5710067A (en) 1995-06-07 1998-01-20 Advanced Micro Devices, Inc. Silicon oxime film
US6040619A (en) 1995-06-07 2000-03-21 Advanced Micro Devices Semiconductor device including antireflective etch stop layer
JPH0955351A (ja) 1995-08-15 1997-02-25 Sony Corp 半導体装置の製造方法
JP3061255B2 (ja) 1995-08-18 2000-07-10 キヤノン販売株式会社 成膜方法
US5948482A (en) 1995-09-19 1999-09-07 University Of New Mexico Ambient pressure process for preparing aerogel thin films reliquified sols useful in preparing aerogel thin films
US5750442A (en) 1995-09-25 1998-05-12 Micron Technology, Inc. Germanium as an antireflective coating and method of use
TW362118B (en) 1995-10-30 1999-06-21 Dow Corning Method for depositing amorphous SiNC coatings
US5744399A (en) 1995-11-13 1998-04-28 Lsi Logic Corporation Process for forming low dielectric constant layers using fullerenes
US5968324A (en) * 1995-12-05 1999-10-19 Applied Materials, Inc. Method and apparatus for depositing antireflective coating
EP0793271A3 (en) * 1996-02-22 1998-12-02 Matsushita Electric Industrial Co., Ltd. Semiconductor device having a metal silicide film and method of fabricating the same
US5838052A (en) 1996-03-07 1998-11-17 Micron Technology, Inc. Reducing reflectivity on a semiconductor wafer by annealing titanium and aluminum
US6008121A (en) 1996-03-19 1999-12-28 Siemens Aktiengesellschaft Etching high aspect contact holes in solid state devices
US5759746A (en) 1996-05-24 1998-06-02 Kabushiki Kaisha Toshiba Fabrication process using a thin resist
US6127262A (en) 1996-06-28 2000-10-03 Applied Materials, Inc. Method and apparatus for depositing an etch stop layer
KR100255512B1 (ko) 1996-06-29 2000-05-01 김영환 플래쉬 메모리 소자 제조방법
JPH1041506A (ja) * 1996-07-25 1998-02-13 Nec Corp 半導体装置及びその製造方法
US5661093A (en) 1996-09-12 1997-08-26 Applied Materials, Inc. Method for the stabilization of halogen-doped films through the use of multiple sealing layers
US5691212A (en) 1996-09-27 1997-11-25 Taiwan Semiconductor Manufacturing Company, Ltd. MOS device structure and integration method
US5711987A (en) 1996-10-04 1998-01-27 Dow Corning Corporation Electronic coatings
KR100243266B1 (ko) * 1996-10-24 2000-03-02 윤종용 (Ge,Si)Nx반사방지막및이를이용한패턴형성방법
US5923999A (en) * 1996-10-29 1999-07-13 International Business Machines Corporation Method of controlling dopant diffusion and metal contamination in thin polycide gate conductor of mosfet device
US5994730A (en) 1996-11-21 1999-11-30 Alliance Semiconductor Corporation DRAM cell having storage capacitor contact self-aligned to bit lines and word lines
US5994217A (en) 1996-12-16 1999-11-30 Chartered Semiconductor Manufacturing Ltd. Post metallization stress relief annealing heat treatment for ARC TiN over aluminum layers
US5796151A (en) 1996-12-19 1998-08-18 Texas Instruments Incorporated Semiconductor stack having a dielectric sidewall for prevention of oxidation of tungsten in tungsten capped poly-silicon gate electrodes
US6184158B1 (en) 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
US5840610A (en) 1997-01-16 1998-11-24 Advanced Micro Devices, Inc. Enhanced oxynitride gate dielectrics using NF3 gas
US5783493A (en) 1997-01-27 1998-07-21 Taiwan Semiconductor Manufacturing Company Ltd. Method for reducing precipitate defects using a plasma treatment post BPSG etchback
US5807660A (en) 1997-02-03 1998-09-15 Taiwan Semiconductor Manufacturing Company Ltd. Avoid photoresist lifting by post-oxide-dep plasma treatment
JPH10261574A (ja) 1997-03-19 1998-09-29 Fujitsu Ltd 半導体装置の製造方法
US5792689A (en) 1997-04-11 1998-08-11 Vanguard International Semiconducter Corporation Method for manufacturing double-crown capacitors self-aligned to node contacts on dynamic random access memory
US6284677B1 (en) * 1997-04-18 2001-09-04 United Semiconductor Corp. Method of forming fluorosilicate glass (FSG) layers with moisture-resistant capability
US5933721A (en) 1997-04-21 1999-08-03 Advanced Micro Devices, Inc. Method for fabricating differential threshold voltage transistor pair
TW375779B (en) 1997-06-03 1999-12-01 United Microelectronics Corp Method for treating via side wall
US5883011A (en) * 1997-06-18 1999-03-16 Vlsi Technology, Inc. Method of removing an inorganic antireflective coating from a semiconductor substrate
JPH1116904A (ja) * 1997-06-26 1999-01-22 Mitsubishi Electric Corp 半導体装置及びその製造方法
JP3390329B2 (ja) 1997-06-27 2003-03-24 日本電気株式会社 半導体装置およびその製造方法
KR100248144B1 (ko) 1997-06-30 2000-03-15 김영환 반도체 소자의 콘택 제조방법
US5973356A (en) 1997-07-08 1999-10-26 Micron Technology, Inc. Ultra high density flash memory
US5851603A (en) * 1997-07-14 1998-12-22 Vanguard International Semiconductor Corporation Method for making a plasma-enhanced chemical vapor deposited SiO2 Si3 N4 multilayer passivation layer for semiconductor applications
US6013553A (en) * 1997-07-24 2000-01-11 Texas Instruments Incorporated Zirconium and/or hafnium oxynitride gate dielectric
US6133618A (en) 1997-08-14 2000-10-17 Lucent Technologies Inc. Semiconductor device having an anti-reflective layer and a method of manufacture thereof
US5959325A (en) 1997-08-21 1999-09-28 International Business Machines Corporation Method for forming cornered images on a substrate and photomask formed thereby
US6121133A (en) * 1997-08-22 2000-09-19 Micron Technology, Inc. Isolation using an antireflective coating
US6060766A (en) 1997-08-25 2000-05-09 Advanced Micro Devices, Inc. Protection of hydrogen sensitive regions in semiconductor devices from the positive charge associated with plasma deposited barriers or layers
TW368687B (en) 1997-10-22 1999-09-01 Air Products San Fu Co Ltd Method for improving water-resistant property of silicon nitride thin film doped with fluorine
US6187694B1 (en) 1997-11-10 2001-02-13 Intel Corporation Method of fabricating a feature in an integrated circuit using two edge definition layers and a spacer
US5968611A (en) 1997-11-26 1999-10-19 The Research Foundation Of State University Of New York Silicon nitrogen-based films and method of making the same
US6218292B1 (en) * 1997-12-18 2001-04-17 Advanced Micro Devices, Inc. Dual layer bottom anti-reflective coating
JPH11195704A (ja) 1998-01-05 1999-07-21 Mitsubishi Electric Corp 半導体装置およびその製造方法
US6204168B1 (en) 1998-02-02 2001-03-20 Applied Materials, Inc. Damascene structure fabricated using a layer of silicon-based photoresist material
US6133613A (en) 1998-02-03 2000-10-17 Vanguard International Semiconductor Corporation Anti-reflection oxynitride film for tungsten-silicide substrates
US6118163A (en) * 1998-02-04 2000-09-12 Advanced Micro Devices, Inc. Transistor with integrated poly/metal gate electrode
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6004850A (en) 1998-02-23 1999-12-21 Motorola Inc. Tantalum oxide anti-reflective coating (ARC) integrated with a metallic transistor gate electrode and method of formation
US6274292B1 (en) 1998-02-25 2001-08-14 Micron Technology, Inc. Semiconductor processing methods
EP0942330A1 (en) 1998-03-11 1999-09-15 Applied Materials, Inc. Process for depositing and developing a plasma polymerized organosilicon photoresist film
US6136636A (en) 1998-03-25 2000-10-24 Texas Instruments - Acer Incorporated Method of manufacturing deep sub-micron CMOS transistors
US6001741A (en) 1998-04-15 1999-12-14 Lucent Technologies Inc. Method for making field effect devices and capacitors with improved thin film dielectrics and resulting devices
US6140151A (en) 1998-05-22 2000-10-31 Micron Technology, Inc. Semiconductor wafer processing method
US6159871A (en) 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US5960289A (en) * 1998-06-22 1999-09-28 Motorola, Inc. Method for making a dual-thickness gate oxide layer using a nitride/oxide composite region
US6140677A (en) 1998-06-26 2000-10-31 Advanced Micro Devices, Inc. Semiconductor topography for a high speed MOSFET having an ultra narrow gate
US6001747A (en) 1998-07-22 1999-12-14 Vlsi Technology, Inc. Process to improve adhesion of cap layers in integrated circuits
US6208004B1 (en) * 1998-08-19 2001-03-27 Philips Semiconductor, Inc. Semiconductor device with high-temperature-stable gate electrode for sub-micron applications and fabrication thereof
JP2000068261A (ja) 1998-08-19 2000-03-03 Toshiba Corp 半導体装置の製造方法
US6159804A (en) 1998-09-02 2000-12-12 Advanced Micro Devices, Inc. Disposable sidewall oxidation fabrication method for making a transistor having an ultra short channel length
US6268282B1 (en) 1998-09-03 2001-07-31 Micron Technology, Inc. Semiconductor processing methods of forming and utilizing antireflective material layers, and methods of forming transistor gate stacks
US6281100B1 (en) 1998-09-03 2001-08-28 Micron Technology, Inc. Semiconductor processing methods
US6323101B1 (en) 1998-09-03 2001-11-27 Micron Technology, Inc. Semiconductor processing methods, methods of forming silicon dioxide methods of forming trench isolation regions, and methods of forming interlevel dielectric layers
US6087064A (en) 1998-09-03 2000-07-11 International Business Machines Corporation Silsesquioxane polymers, method of synthesis, photoresist composition, and multilayer lithographic method
US6373114B1 (en) 1998-10-23 2002-04-16 Micron Technology, Inc. Barrier in gate stack for improved gate dielectric integrity
US5981368A (en) 1998-11-05 1999-11-09 Advanced Micro Devices Enhanced shallow junction design by polysilicon line width reduction using oxidation with integrated spacer formation
US6156674A (en) 1998-11-25 2000-12-05 Micron Technology, Inc. Semiconductor processing methods of forming insulative materials
US6444593B1 (en) 1998-12-02 2002-09-03 Advanced Micro Devices, Inc. Surface treatment of low-K SiOF to prevent metal interaction
US6133096A (en) 1998-12-10 2000-10-17 Su; Hung-Der Process for simultaneously fabricating a stack gate flash memory cell and salicided periphereral devices
US6143670A (en) 1998-12-28 2000-11-07 Taiwan Semiconductor Manufacturing Company Method to improve adhesion between low dielectric constant layer and silicon containing dielectric layer
US6235568B1 (en) 1999-01-22 2001-05-22 Intel Corporation Semiconductor device having deposited silicon regions and a method of fabrication
US6037228A (en) * 1999-02-12 2000-03-14 United Microelectronics Corp. Method of fabricating self-aligned contact window which includes forming a undoped polysilicon spacer that extends into a recess of the gate structure
US6492688B1 (en) 1999-03-02 2002-12-10 Siemens Aktiengesellschaft Dual work function CMOS device
US6187657B1 (en) 1999-03-24 2001-02-13 Advanced Micro Devices, Inc. Dual material gate MOSFET technique
US6200863B1 (en) * 1999-03-24 2001-03-13 Advanced Micro Devices, Inc. Process for fabricating a semiconductor device having assymetric source-drain extension regions
US6028015A (en) 1999-03-29 2000-02-22 Lsi Logic Corporation Process for treating damaged surfaces of low dielectric constant organo silicon oxide insulation material to inhibit moisture absorption
US6503818B1 (en) 1999-04-02 2003-01-07 Taiwan Semiconductor Manufacturing Company Delamination resistant multi-layer composite dielectric layer employing low dielectric constant dielectric material
US6432791B1 (en) * 1999-04-14 2002-08-13 Texas Instruments Incorporated Integrated circuit capacitor and method
TW420844B (en) 1999-06-21 2001-02-01 Taiwan Semiconductor Mfg Method for decreasing the removing rate of polishing for low dielectric constant material
US6030901A (en) 1999-06-24 2000-02-29 Advanced Micro Devices, Inc. Photoresist stripping without degrading low dielectric constant materials
US6096656A (en) 1999-06-24 2000-08-01 Sandia Corporation Formation of microchannels from low-temperature plasma-deposited silicon oxynitride
US6130168A (en) 1999-07-08 2000-10-10 Taiwan Semiconductor Manufacturing Company Using ONO as hard mask to reduce STI oxide loss on low voltage device in flash or EPROM process
US6221708B1 (en) 1999-07-23 2001-04-24 Micron Technology, Inc. Field effect transistor assemblies, integrated circuitry, and methods of forming field effect transistors and integrated circuitry
US6281135B1 (en) * 1999-08-05 2001-08-28 Axcelis Technologies, Inc. Oxygen free plasma stripping process
DE69940114D1 (de) 1999-08-17 2009-01-29 Applied Materials Inc Oberflächenbehandlung von kohlenstoffdotierten SiO2-Filmen zur Erhöhung der Stabilität während der O2-Veraschung
EP1077479A1 (en) 1999-08-17 2001-02-21 Applied Materials, Inc. Post-deposition treatment to enchance properties of Si-O-C low K film
US6198144B1 (en) 1999-08-18 2001-03-06 Micron Technology, Inc. Passivation of sidewalls of a word line stack
US7067414B1 (en) * 1999-09-01 2006-06-27 Micron Technology, Inc. Low k interlevel dielectric layer fabrication methods
US6235591B1 (en) 1999-10-25 2001-05-22 Chartered Semiconductor Manufacturing Company Method to form gate oxides of different thicknesses on a silicon substrate
US6403464B1 (en) 1999-11-03 2002-06-11 Taiwan Semiconductor Manufacturing Company Method to reduce the moisture content in an organic low dielectric constant material
JP2001160558A (ja) 1999-12-02 2001-06-12 Nec Corp 半導体装置の製造方法及び製造装置
TW429473B (en) 1999-12-16 2001-04-11 United Microelectronics Corp Method for forming dielectric layer with capability to resist the diffusion of copper
US6518122B1 (en) 1999-12-17 2003-02-11 Chartered Semiconductor Manufacturing Ltd. Low voltage programmable and erasable flash EEPROM
US6949203B2 (en) * 1999-12-28 2005-09-27 Applied Materials, Inc. System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
US6440860B1 (en) 2000-01-18 2002-08-27 Micron Technology, Inc. Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride
GB0001179D0 (en) * 2000-01-19 2000-03-08 Trikon Holdings Ltd Methods & apparatus for forming a film on a substrate
US6558755B2 (en) 2000-03-20 2003-05-06 Dow Corning Corporation Plasma curing process for porous silica thin film
US6794311B2 (en) 2000-07-14 2004-09-21 Applied Materials Inc. Method and apparatus for treating low k dielectric layers to reduce diffusion
US20020033486A1 (en) 2000-08-04 2002-03-21 Samsung Electronics Co., Ltd. Method for forming an interconnection line using a hydrosilsesquioxane (HSQ) layer as an interlayer insulating layer
US7166524B2 (en) * 2000-08-11 2007-01-23 Applied Materials, Inc. Method for ion implanting insulator material to reduce dielectric constant
JP2002110679A (ja) * 2000-09-29 2002-04-12 Hitachi Ltd 半導体集積回路装置の製造方法
TW462085B (en) 2000-10-26 2001-11-01 United Microelectronics Corp Planarization of organic silicon low dielectric constant material by chemical mechanical polishing
US6436808B1 (en) 2000-12-07 2002-08-20 Advanced Micro Devices, Inc. NH3/N2-plasma treatment to prevent organic ILD degradation
US6720247B2 (en) * 2000-12-14 2004-04-13 Texas Instruments Incorporated Pre-pattern surface modification for low-k dielectrics using A H2 plasma
US6583047B2 (en) 2000-12-26 2003-06-24 Honeywell International, Inc. Method for eliminating reaction between photoresist and OSG
TW471134B (en) 2001-02-27 2002-01-01 United Microelectronics Corp Manufacturing method for multilevel interconnects
US6348407B1 (en) * 2001-03-15 2002-02-19 Chartered Semiconductor Manufacturing Inc. Method to improve adhesion of organic dielectrics in dual damascene interconnects
US6486057B1 (en) 2001-04-12 2002-11-26 National Science Council Process for preparing Cu damascene interconnection
US6979654B2 (en) 2001-07-03 2005-12-27 United Microelectronics Corp. Method of avoiding dielectric layer deterioation with a low dielectric constant during a stripping process
US6656837B2 (en) * 2001-10-11 2003-12-02 Applied Materials, Inc. Method of eliminating photoresist poisoning in damascene applications
JP4063619B2 (ja) * 2002-03-13 2008-03-19 Necエレクトロニクス株式会社 半導体装置の製造方法
JP4177993B2 (ja) * 2002-04-18 2008-11-05 株式会社ルネサステクノロジ 半導体装置及びその製造方法
US20040071878A1 (en) * 2002-08-15 2004-04-15 Interuniversitair Microelektronica Centrum (Imec Vzw) Surface preparation using plasma for ALD Films
US6632712B1 (en) * 2002-10-03 2003-10-14 Chartered Semiconductor Manufacturing Ltd. Method of fabricating variable length vertical transistors
US6811936B2 (en) * 2002-12-31 2004-11-02 Freescale Semiconductor Inc. Structure and process for a pellicle membrane for 157 nanometer lithography
JP2004273483A (ja) * 2003-03-05 2004-09-30 Sanyo Electric Co Ltd 配線構造の形成方法
JP2005050954A (ja) * 2003-07-31 2005-02-24 Toshiba Corp 半導体装置およびその製造方法
US6790778B1 (en) * 2003-09-10 2004-09-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method for capping over a copper layer
US7250370B2 (en) * 2003-09-19 2007-07-31 Taiwan Semiconductor Manufacturing Company, Ltd. Two step post-deposition treatment of ILD layer for a lower dielectric constant and improved mechanical properties

Also Published As

Publication number Publication date
AU5590799A (en) 2000-03-27
US6268282B1 (en) 2001-07-31
JP2002524873A (ja) 2002-08-06
KR20010074948A (ko) 2001-08-09
US6727173B2 (en) 2004-04-27
WO2000014781A1 (en) 2000-03-16
US7151054B2 (en) 2006-12-19
US20010044221A1 (en) 2001-11-22
KR100423560B1 (ko) 2004-03-18
US20040180537A1 (en) 2004-09-16

Similar Documents

Publication Publication Date Title
JP3542118B2 (ja) 非反射物質層の形成及びこれを利用した半導体製造方法、及びトランジスタゲートスタックの形成方法
US6670284B2 (en) Method of decontaminating process chambers, methods of reducing defects in anti-reflective coatings, and resulting semiconductor structures
US6461950B2 (en) Semiconductor processing methods, semiconductor circuitry, and gate stacks
US6214637B1 (en) Method of forming a photoresist pattern on a semiconductor substrate using an anti-reflective coating deposited using only a hydrocarbon based gas
US5639687A (en) Method for forming an integrated circuit pattern on a semiconductor substrate using silicon-rich silicon nitride
US6133618A (en) Semiconductor device having an anti-reflective layer and a method of manufacture thereof
TW200832552A (en) Amorphous carbon film, semiconductor device, film forming method, film forming apparatus and storage medium
US6531349B2 (en) Method of etching polycrystalline silicon film by using two consecutive dry-etching processes
US6365320B1 (en) Process for forming anti-reflective film for semiconductor fabrication using extremely short wavelength deep ultraviolet photolithography
US7064080B2 (en) Semiconductor processing method using photoresist and an antireflective coating
FR2497403A1 (fr) Procede de formation de reseaux extremement fins en particulier pour la fabrication de transistors
JPS596540A (ja) 半導体装置の製造方法
US6177235B1 (en) Antireflection treatment of reflective surfaces
JPH1092742A (ja) ゲルマニウムを含有する反射防止用の組成物及びそれを用いたパターン形成方法
US6117619A (en) Low temperature anti-reflective coating for IC lithography
US6903007B1 (en) Process for forming bottom anti-reflection coating for semiconductor fabrication photolithography which inhibits photoresist footing
GB2145539A (en) Optical preparation of molybdenum surfaces
JPH10233386A (ja) ドライエッチング方法

Legal Events

Date Code Title Description
A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20031125

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20031202

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20040223

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20040323

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20040329

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: R3D02

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090409

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090409

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100409

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110409

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120409

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120409

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130409

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130409

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140409

Year of fee payment: 10

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees