KR20010074948A - 반도체 공정에서의 반사방지 물질층 형성 및 이용법과트랜지스터 게이트 적층구조 형성법 - Google Patents

반도체 공정에서의 반사방지 물질층 형성 및 이용법과트랜지스터 게이트 적층구조 형성법 Download PDF

Info

Publication number
KR20010074948A
KR20010074948A KR1020017002809A KR20017002809A KR20010074948A KR 20010074948 A KR20010074948 A KR 20010074948A KR 1020017002809 A KR1020017002809 A KR 1020017002809A KR 20017002809 A KR20017002809 A KR 20017002809A KR 20010074948 A KR20010074948 A KR 20010074948A
Authority
KR
South Korea
Prior art keywords
layer
metal silicide
reactor
antireflective material
depositing
Prior art date
Application number
KR1020017002809A
Other languages
English (en)
Other versions
KR100423560B1 (ko
Inventor
거테이에스. 산듀
수지트 샤란
Original Assignee
추후제출
미크론 테크놀로지,인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 추후제출, 미크론 테크놀로지,인코포레이티드 filed Critical 추후제출
Publication of KR20010074948A publication Critical patent/KR20010074948A/ko
Application granted granted Critical
Publication of KR100423560B1 publication Critical patent/KR100423560B1/ko

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0277Electrolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • H01L21/28044Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer
    • H01L21/28052Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer the conductor comprising a silicide layer formed by the silicidation reaction of silicon with a metal layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3145Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers formed by deposition from a gas or vapour

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

한 태양에서, 기판 위에 실리콘, 질소, 산소를 증착할 때 기체형태의 실리콘, 질소, 산소를 고밀도 플라즈마에 노출시키는 단계를 포함하는 반도체 공정법이 공개된다. 또하나의 태양에서 발명은, a) 기판(52) 위에 폴리실리콘층(56)을 형성하고, b) 폴리실리콘층(56) 위에 금속 실리사이드층(58)을 형성하며, c) 고밀도 플라즈마를 이용하여 금속 실리사이드층(58) 위에 반사방지 물질층(60)을 증착하고, d) 반사방지 물질층(60) 위에 포토레지스트층(62)을 형성하며, e) 포토레지스트층(62)으로부터 패턴처리된 마스크층을 형성하기 위해, 포토레지스트층(62)을 포토리소그래피 방식으로 패턴처리하고, 그리고 f) 반사방지 물질층, 금속 실리사이드층, 폴리실리콘층을 게이트 적층구조로 패턴처리하기 위해, 패턴처리된 마스크층(62)으로부터 반사방지 물질층(60), 금속 실리사이드층(58), 폴리실리콘층(56)까지 패턴을 전이하는, 이상의 단계를 포함하는 게이트 적층구조 형성 방법을 포함한다.

Description

반도체 공정에서의 반사방지 물질층 형성 및 이용법과 트랜지스터 게이트 적층구조 형성법{SEMICONDUCTOR PROCESSING METHODS OF FORMING AND UTILIZING ANTIREFLECTIVE MATERIAL LAYERS, AND METHODS OF FORMING TRANSISTOR GATE STACKS}
반도체 공정은 기판 위에 포토레지스트층을 제공하는 과정을 자주 포함한다. 포토레지스트층 부분은 마스크 처리된 광원을 통해 광에 노출된다. 마스크는 포토레지스트층에 생성될 패턴을 형성하는 투명 및 불투명 영역을 포함한다. 광에 노출되는 포토레지스트층 영역은 용매에 가용성이거나 불용성이도록 만들어진다. 노출된 영역이 가용성일 경우, 마스크의 양의 상이 포토레지스트에 생성된다. 따라서 포토레지스트는 양성 프로토레지스트로 불린다. 이에 반해, 비방사 영역이 용매에 의해 반응하지 않을 경우, 음의 상이 생긴다. 따라서, 이때 포토레지스트는 음성 포토레지스트로 불린다.
포토레지스트를 광에 노출시킬 때 발생할 수 있는 어려움은 방사파가 포토레지스트 아래의 층으로 포토레지스트를 통해 전파할 수 있고, 그후, 포토레지스트를통해 다시 반사되어 포토레지스트를 통과하는 다른 파동과 상호작용할 수 있다는 점이다. 반사된 파동은 포토레지스트를 통과하는 다른 파동과 보강 간섭이나 상쇄 간섭을 일으킬 수 있어서 포토레지스트 내의 광강도의 주기적 변화를 생성할 수 있다. 이러한 광강도의 변화로 인해 포토레지스트가 그 두께 전반에서 에너지의 균일하지 않은 분포를 수용하게 될 수 있다. 비균일한 분포는 마스크 처리 패턴이 포토레지스트로 옮겨질 때 정확성을 감소시킬 수 있다. 따라서, 포토레지스트층 하부의 층에 의해 반사되는 것을 방사파가 억제할 수 있는 방법을 개발하는 것이 요구된다.
반사파를 억제하기 위해 사용된 방법은 포토레지스트층 아래 반사방지 물질을 형성하는 것이다. 반사방지 물질은 방사를 흡수하는 물질이어서, 방사의 반사를 억누를 수 있다. 반사방지 물질은 여러 파장의 파동을 효과를 달리하면서 흡수한다. 반사방지 물질로 사용하기에 적합한 물질의 수는 제한된다. 따라서, 흡수되는 파장을 변화시키는 대안의 방법, 그리고 반사 방지 물질에 대해 파동이 흡수될 때의 효과를 달리하는 대안의 방법을 개발하는 것이 바람직하다.
특별한 종류의 반사방지 코팅 물질로는 증착형 반사방지 코팅(DARC)이 있다. DARC의 예로는 SixOyNz가 있고, 이때 x는 40-60%, y는 29-45%, 그리고 z는 10-16%이다. DARC는 예를 들어 Si50O37N13일 수 있다. SiH4와 N2O를 프리커서로 사용하여 4-6.5 Torr의 압력에서 섭씨 400도로 기판에 화학 증기 증착법을 실행함으로서 DARC가 형성될 수 있다. DARC 물질은 증착 중 반응 챔버 내에 플라즈마 존재 여부에 상관없이 증착될 수 있다. DARC 필름을 사용하는 통상적인 목적은 포토레지스트 상부층에 도달하는 반사파를 DARC 필름에서 입사파의 10% 이하로 감소시키는 것이다.
DARC 물질을 이용한 공지 공정은 도 1에서 반도체 웨이퍼(10)를 들어 설명된다. 웨이퍼(10)는 기판(12)을 포함한다. 기판(12)은 배경 p형 도펀트로 약하게 도핑된 단결정 실리콘을 한 예로 포함할 수 있다. 첨부된 청구범위의 해석을 돕기 위해, "반도체 기판"이라는 용어는 반도체 웨이퍼와 같은 벌크 반도체 물질을 포함하는(그러나 이에 한정되지는 않는) 반도체 물질과, 반도체 물질층을 포함하는 모든 구조를 의미한다. "기판"이라는 용어는 앞서 언급한 반도체 기판을 포함하는(그러나 이에 한정되지는 않는) 모든 지지 구조를 의미한다.
게이트 유전층(14), 폴리실리콘층(16), 실리사이드층(18)이 기판(12) 위에 형성된다. 게이트 유전층(14)은 예를 들어 이산화규소를 포함할 수 있다. 폴리실리콘층(16)은 가령, 전도가능하게 도핑된 폴리실리콘을 포함할 수 있다. 실리사이드층(18)은 가령, 텅스텐 실리사이드나 티타늄 실리사이드를 포함할 수 있다. 층(14, 16, 18)은 궁극적으로는 트랜지스터 게이트 구조로 패턴 처리될 것이다.
반사방지 코팅층(20)이 실리사이드층(18) 위에 제공되고, 포토레지스트층(22)이 반사방지 코팅층(20) 위에 제공된다. 반사방지 코팅층(20)은 SixOyNz와 같은 무기질층을 포함할 수 있다. 실제로, 층(20)은 본질적으로 무기질일 수 있고, "본질적으로 무기질"이라는 말은 층(20)이 소량의 탄소(1% 이하)를 포함할 수 있다는 것을 의미한다.
실리사이드층(18)은 트랜지스터 게이트에 층을 이용하기 전에 실리사이드층의 전도도와 결정 구조를 개선시키기 위해 어닐링 처리된다. 한 예로 실리사이드층(18)의 어닐링 처리는 대기압(1기압)에서 섭씨 850도로 30분간 실시된다.
어닐링 처리중 기체 산소로부터 층(18)을 보호하기 위해 어닐링 처리 전에 실리사이드층(18) 위에 DARC 물질(20)이 제공된다. 기체 산소가 어닐링 중에 층(18)과 반응하면, 산소는 층(18)의 일부를 산화시켜서 층(18)의 전도도에 악영향을 끼칠 수 있다. 불행하게도, 어닐링 조건은 DARC 물질(20)의 광학적 성질에 해로운 영향을 미칠 수 있다. 특히, DARC 물질(20)은 굴절률(n)과 소광 계수(에너지 흡수 계수)(k)로 표현할 수 있는 광학적 성질을 가진다. 실리사이드층(18)의 전도도를 개선시키는 어닐링 조건은 층(20)의 "n"과 "k"중 한 개나 두 개 모두를 변화시킬 수 있다. 방사파가 포토레지스트층(22)에 도달하기 전에 반사파를 소광시키도록 적절한 매개변수로 물질의 "n"과 "k"를 조절하도록 물질층(20)의 화학구조가 조심스럽게 선택된다. "n"과 "k"에 대한 어닐링 조건의 효과는 이러한 매개변수를 최적 조절 범위에서 밀어낼 수 있다. 따라서, 물질의 "n"과 "k"가 어닐링 조건에 의해 유도되는 변화에 둔감한 DARC 물질을 형성하는 방법을 개발하는 것이 요구된다.
본 발명은 반사방지 물질층 형성 및 이용법과 트랜지스터 게이트 적층구조 형성법에 관한 것이다.
도 1은 기존 반도체 웨이퍼의 단면도.
도 2는 본 발명의 방법의 예비 단계에서 반도체 웨이퍼의 단면도.
도 3은 도 2의 단계의 다음 단계의 웨이퍼 단면도.
도 4는 도 3의 단계의 다음 단계의 웨이퍼 단면도.
도 5는 도 4의 단계의 다음 단계의 웨이퍼 단면도.
도 6은 본 발명의 방법에 사용될 수 있는 반응 챔버의 단면도.
(도면의 부호설명)
10, 50, 110 ... 반도체 웨이퍼 12, 52 ... 기판
14, 54 ... 게이트 유전층 16, 56 ... 폴리실리콘층
18, 58 ... 실리사이드층 20, 60 ... 반사방지 코팅층
22 ... 포토레지스트층 70 ... 게이트 적층구조
100 ... 반응기 102 ... 코일
104 ... 전원 106 ... 챔버
108 ... 웨이퍼 홀더(척) 112 ... 전원
한 태양에서, 기판 위에 실리콘, 질소, 산소를 포함하는 고체층을 증착할 때 기체 형태의 실리콘, 질소, 그리고 산소가 고밀도 플라즈마에 노출되는 반도체 공정 방법을 본 발명이 포함한다.
또다른 태양에서, 발명은 포토리소그래피 공정의 반도체 공정 방법을 포함한다. 금속 실리사이드층이 기판 위에 형성된다. 고밀도 플라즈마를 이용하여 반사방지 물질층이 금속 실리사이드층 위에 증착된다.
또하나의 태양에서, 발명은 트랜지스터 게이트 적층구조 형성 방법을 포함한다. 폴리실리콘층이 기판 위에 형성된다. 금속 실리사이드층이 폴리실리콘층 위에 형성된다. 고밀도 플라즈마를 이용하여 반사방지 물질층이 금속 실리사이드층 위에 증착된다. 반사방지 물질층 위에 포토레지스트층이 형성된다. 포토레지스트층은 포토리소그래피 방식으로 패턴 처리되어, 포토레지스트층으로부터 패턴처리된 마스크층을 형성한다. 패턴처리된 마스크층으로부터 반사방지 물질층, 금속 실리사이드층, 그리고 폴리실리콘층으로 패턴이 전이되어, 반사방지 물질층, 금속 실리사이드층, 폴리실리콘층을 트랜지스터 게이트 적층구조로 패턴처리한다.
도 2는 발명의 방법의 예비 단계에서 반도체 웨이퍼(50)의 단면도이다. 웨이퍼(50)는 기판(52), 게이트 유전층(54), 폴리실리콘층(56), 실리사이드층(58)을 포함한다. 기판(52), 게이트 유전층(54), 폴리실리콘층(56), 그리고 실리사이드층(58)은 기판(12), 게이트 유전층(14), 폴리실리콘층(16), 그리고 실리사이드층(18)에 대해 도 1의 공지 구조에서 사용된 물질과 동일한 물질을 포함할 수 있다.
실리사이드층(58) 위에 DARC 물질층(60)이 형성된다. 기존 방법에 반해, DARC 물질(60)이 고밀도 플라즈마 증착을 이용하여 형성된다. 이러한 증착은 반응 챔버 내에서 발생할 수 있다. 첨부된 청구범위의 해석을 돕기 위하여, "고밀도 플라즈마"는 1010이온/cm3이상의 밀도를 가지는 플라즈마로 정의된다. 층(60)이 증착되는 웨이퍼(50) 부분은 증착 중에 섭씨 300-800 도로 유지되는 것이 선호되며, 섭씨 600도가 가장 선호된다. 웨이퍼 냉각을 위해 증착 중에 웨이퍼 후면에 헬륨을쏘임으로서 웨이퍼(50) 온도가 제어될 수 있다. 온도가 높을수록 조밀한 층(60)이 형성될 수 있다. 이러한 조밀한 층(60)은 덜 조밀한 층(60)에 비해 어닐링 조건에서 보다 안정할 수 있다. 층(60)을 증착할 때 반응 챔버 내의 선호되는 압력 범위는 1-100 mTorr이다. 고밀도 플라즈마 증착에 사용되는 공급 기체로는 SiH4, N2, O2, 그리고 아르곤이 있다.
본 발명의 방법에 사용될 수 있는 반응 챔버가 반응기(100)의 일부로 도 6에 도시된다. 반응기(100)는 전원(104)에 연결된 코일(102)을 포함한다. 코일(102)은 반응 챔버(106)를 둘러싸며, 챔버(106) 내에 플라즈마를 생성하는 구조를 가진다. 웨이퍼 홀더(척)(108)는 전원(112)에 전기적으로 연결된다. 전원(104, 112)은 분리된 전원일 수도 있고, 단일 전원으로부터 발생하는 분리된 공급원일 수도 있다. 전원(104)으로부터의 전력은 한 예로 2000 와트일 수 있고, 13.6 MHz의 주파수를 포함할 수 있다. 전원(112)으로부터 웨이퍼(110)에 가해지는 전력은 200 와트 이하로 바이어스되는 것이 선호되며, 100 와트 바이어스가 가장 선호된다. 실제로, 바이어스 전력은 웨이퍼(110) 자체에서보다는 웨이퍼(110) 홀더인 척(108)에서 측정되는 것이 일반적이다.
공급 기체가 반응 챔버(106)로 흐르는 예는 SiH4100 sccm, N2150 sccm, O2150 sccm, 그리고 아르곤 200 sccm이다. 본 발명의 고압 플라즈마 공정에서 반도체 웨이퍼에 층(60)(도 2)을 증착하는 동안, 증착 및 에칭 공정이 동시에 일어나, 증착-에칭 비를 나타낼 것이다. 증착 속도는 에칭 속도보다 빨라서, 알짜 효과는 물질이 웨이퍼에 증착되는 것이다. 증착 속도는 증착 중에 기판에 바이어스를 제공하지 않음으로서 연산될 수 있고, 에칭 속도는 어떤 증착 프리커서도 반응기(106) 내로 공급되지 않을 때 에칭 속도를 결정함으로서 계산될 수 있다. 기판(110)에 대한 바이어스 전력을 조절함으로서 증착-에칭비의 수정은 증착된 층(60)의 "n"과 "k" 갓에 영향을 미치도록 사용될 수 있다.
도 2에서, 실리사이드층(58)은 DARC 물질(60)의 증착 후 어닐링 처리된다. 본 발명의 고압 플라즈마 증착은 실리사이드층(58)의 어닐링 처리중 물질(60)의 광학적 성질(가령 "n"과 "k" 값)의 가변성을 감소시킬 수 있다. 예를 들어, 본 명세서의 "배경기술" 단락에서 논의된 조건을 이용한 어닐링 처리에 의해 유도되는 "n"과 "k" 값의 변화는 10% 이하로 제한될 수 있다.
도 3에서, DARC 물질(60) 위에 포토레지스트층(62)이 형성된다. 층(62) 부분을 제거하고 도 4에 도시되는 구조를 형성하기 위해 마스크 처리된 광원과 용매에 노출시킴으로서 포토레지스트층(62)이 패턴처리된다.
층(62)으로부터 하부층(54, 56, 58, 60)으로 패턴이 전이되어, 도 5에 도시되는 게이트 적층구조(70)를 형성한다. 게이트 적층구조가 층(60, 58, 56)을 포함하고 층(54)이 패턴처리되지 않는 실시예도 발명에 또한 포함된다. 포토레지스트층(62)으로부터 하부층(54, 56, 58, 60)으로 패턴을 전이하는 방법은 플라즈마 에칭이다. 게이트 적층구조(60)가 형성된 후, 포토레지스트층(62)이 제거될 수 있다. 또한, 게이트 적층구조(70) 주변에 소스 및 드레인 영역이 주입될 수 있고, 게이트 적층구조로부터 트랜지스터 게이트 구조를 형성하기 위해 게이트 적층구조(70)의 측벽을 따라 측벽 스페이서가 형성될 수 있다.

Claims (28)

  1. 반도체 공정 방법으로서, 상기 방법은:
    - 기판 위에 실리콘, 질소, 산소를 포함하는 층을 증착시키고, 그리고 상기 층의 증착 중에 고밀도 플라즈마에 실리콘, 질소, 산소를 노출시키며, 이때 증착되는 층은 "n"과 "k"값의 특성을 가지며,
    - 섭씨 850 도 이상의 어닐링 조건에서 증착된 층을 노출시키는, 이상의 단계를 포함하고,
    이때 "n"과 "k" 값은 어닐링 조건에 대해 노출 중 10% 이하로 변화하는 것을 특징으로 하는 방법.
  2. 제 1 항에 있어서, 상기 어닐링 조건은 1기압 이상의 압력을 추가로 포함하는 것을 특징으로 하는 방법.
  3. 제 1 항에 있어서, 어닐링 조건은 1기압 이상에서 30분 이상의 노출 시간을 추가로 포함하는 것을 특징으로 하는 방법.
  4. 반도체 공정 방법으로서, 상기 방법은 기판 위에 실리콘, 질소, 산소를 포함하는 고체층을 증착할 때 기체 형태의 실리콘, 질소, 그리고 산소를 고밀도 플라즈마에 노출시키는 단계를 포함하는 것을 특징으로 하는 방법.
  5. 제 4 항에 있어서, 상기 방법은:
    - 실리콘, 질소, 그리고 산소를 포함하는 고체층을 증착하기 전에 기판 위에 금속 실리사이드층을 형성하고, 그리고
    - 금속 실리사이드를 어닐링처리하는 조건에 실리콘, 질소, 산소 포함 고체층과 금속 실리사이드층을 노출시키는, 이상의 단계를 포함하는 것을 특징으로 하는 방법.
  6. 제 5 항에 있어서, 실리콘, 질소, 그리고 산소 포함 고체층은 금속 실리사이드층과 직접 접촉하는 것을 특징으로 하는 방법.
  7. 반도체 공정 방법으로서, 상기 방법은:
    - 기판 위에 금속 실리사이드층을 형성하고,
    - 금속 실리사이드층 위에 반사방지 물질층을 증착하며,
    - 증착 중에 고밀도 플라즈마에 반사방지 물질층을 노출시키고,
    - 반사방지 물질층 위에 포토레지스트층을 형성하고, 그리고
    - 포토레지스트층을 포토리소그래피 방식으로 패턴처리하는, 이상의 단계를 포함하는 것을 특징으로 하는 방법.
  8. 제 7 항에 있어서, 상기 증착 단계는 SiH4, N2, 그리고 O2를 포함하는 공급 기체를 이용하는 것을 특징으로 하는 방법.
  9. 제 8 항에 있어서, 공급 기체는 아르곤을 추가로 포함하는 것을 특징으로 하는 방법.
  10. 제 7 항에 있어서, 증착 중 기판의 어느 한 부분 이상을 섭씨 300-800도로 유지하는 단계를 추가로 포함하는 것을 특징으로 하는 방법.
  11. 제 7 항에 있어서, 상기 증착 단계가 반응기 내에서 행하여지고, 증착 중 반응기 내의 온도가 섭씨 300-800 도인 것을 특징으로 하는 방법.
  12. 제 7 항에 있어서, 상기 증착 단계가 반응기 내에서 행하여지고, 증착 중 반응기 내의 압력이 1-100 mTorr인 것을 특징으로 하는 방법.
  13. 제 7 항에 있어서, 상기 증착 단계가 반응기 내에서 행하여지고, 증착 중 기판은 100 와트로 바이어스되고 플라즈마는 2000 와트의 전력에서 유도되는 것을 특징으로 하는 방법.
  14. 제 7 항에 있어서, 상기 증착 단계는 반응기 내에서 행하여지고, 증착 중 반응기 내의 온도는 섭씨 300-800도이며, 반응기 내의 압력은 1-100 mTorr인 것을 특징으로 하는 방법.
  15. 제 7 항에 있어서, 상기 증착 단계는 반응기 내에서 행하여지고, 증착 중에 기판은 100 와트의 전력으로 바이어스되고, 플라즈마는 2000 와트의 전력에서 유도되는 것을 특징으로 하는 방법.
  16. 제 7 항에 있어서, 반사방지 물질층이 금속 실리사이드층 위에 위치할 때, 금속 실리사이드층을 어닐링처리하는 단계를 추가로 포함하는 것을 특징으로 하는 방법.
  17. 제 7 항에 있어서, 증착된 반사방지 물질층은 실리콘, 질소, 산소를 포함하는 것을 특징으로 하는 방법.
  18. 제 7 항에 있어서, 증착된 반사방지 물질층은 금속 실리사이드층과 직접 접촉하는 것을 특징으로 하는 방법.
  19. 트랜지스터 게이트 적층구조를 형성하는 방법으로서, 상기 방법은:
    - 기판 위에 폴리실리콘층을 형성하고,
    - 폴리실리콘층 위에 금속 실리사이드층을 형성하며,
    - 금속 실리사이드층 위에 반사방지 물질층을 증착하고,
    - 증착 중에 반사방지 물질층을 고밀도 플라즈마에 노출시키며,
    - 반사방지 물질층 위에 포토레지스트층을 형성하고,
    - 포토레지스트층으로부터 패턴처리된 마스크층을 형성하기 위해 포토레지스트층을 포토리소그래피 방식으로 패턴처리하며,
    - 반사방지 물질층, 금속 실리사이드층, 폴리실리콘층을 트랜지스터 게이트 적층구조로 패턴처리하기 위해, 패터처리된 마스크층으로부터 반사방지 물질층, 금속 실리사이드층, 그리고 폴리실리콘층까지 패턴을 전이하는, 이상의 단계를 포함하는 것을 특징으로 하는 방법.
  20. 제 19 항에 있어서, 상기 증착 단계는 섭씨 300-800 도로 반사방지 물질층을 증착하는 과정을 포함하는 것을 특징으로 하는 방법.
  21. 제 19 항에 있어서, 상기 증착 단계는 반응기 내에서 행하여지고, 증착 중 반응기 내의 온도는 섭씨 300-800도인 것을 특징으로 하는 방법.
  22. 제 19 항에 있어서, 상기 증착 단계는 반응기 내에서 행하여지고, 증착 중 반응기 내의 압력은 1-100 mTorr인 것을 특징으로 하는 방법.
  23. 제 19 항에 있어서, 상기 증착 단계는 반응기 내에서 행하여지고, 증착 중에 기판은 100 와트의 전력으로 바이어스되며, 약 2000 와트의 전력에서 플라즈마가 유도되는 것을 특징으로 하는 방법.
  24. 제 19 항에 있어서, 상기 증착 단계는 반응기 내에서 행하여지고, 증착 중에 반응기 내의 온도는 섭씨 300-800도이며, 증착 중에 반응기 내의 압력은 1-100 mTorr인 것을 특징으로 하는 방법.
  25. 제 19 항에 있어서, 상기 증착 단계는 반응기 내에서 행하여지고, 증착 중에 상기 기판은 100 와트의 전력으로 바이어스되며, 2000 와트의 전력에서 플라즈마가 유도되는 것을 특징으로 하는 방법.
  26. 제 19 항에 있어서, 반사방지 물질층이 금속 실리사이드층 위에 있을 때, 금속 실리사이드층을 어닐링처리하는, 단계를 추가로 포함하는 것을 특징으로 하는 방법.
  27. 제 19 항에 있어서, 증착된 반사방지 물질층은 실리콘, 질소, 그리고 산소를 포함하는 것을 특징으로 하는 방법.
  28. 제 19 항에 있어서, 증착된 반사방지 물질층은 금속 실리사이드층과 직접 접촉하는 것을 특징으로 하는 방법.
KR10-2001-7002809A 1998-09-03 1999-08-31 반도체 공정 방법 및 트랜지스터 게이트 적층구조 형성 방법 KR100423560B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/146,841 1998-09-03
US09/146,841 US6268282B1 (en) 1998-09-03 1998-09-03 Semiconductor processing methods of forming and utilizing antireflective material layers, and methods of forming transistor gate stacks

Publications (2)

Publication Number Publication Date
KR20010074948A true KR20010074948A (ko) 2001-08-09
KR100423560B1 KR100423560B1 (ko) 2004-03-18

Family

ID=22519203

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2001-7002809A KR100423560B1 (ko) 1998-09-03 1999-08-31 반도체 공정 방법 및 트랜지스터 게이트 적층구조 형성 방법

Country Status (5)

Country Link
US (3) US6268282B1 (ko)
JP (1) JP3542118B2 (ko)
KR (1) KR100423560B1 (ko)
AU (1) AU5590799A (ko)
WO (1) WO2000014781A1 (ko)

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6800378B2 (en) * 1998-02-19 2004-10-05 3M Innovative Properties Company Antireflection films for use with displays
US6274292B1 (en) * 1998-02-25 2001-08-14 Micron Technology, Inc. Semiconductor processing methods
US7804115B2 (en) 1998-02-25 2010-09-28 Micron Technology, Inc. Semiconductor constructions having antireflective portions
US6268282B1 (en) 1998-09-03 2001-07-31 Micron Technology, Inc. Semiconductor processing methods of forming and utilizing antireflective material layers, and methods of forming transistor gate stacks
US6281100B1 (en) 1998-09-03 2001-08-28 Micron Technology, Inc. Semiconductor processing methods
US6828683B2 (en) 1998-12-23 2004-12-07 Micron Technology, Inc. Semiconductor devices, and semiconductor processing methods
US7235499B1 (en) 1999-01-20 2007-06-26 Micron Technology, Inc. Semiconductor processing methods
US6291361B1 (en) * 1999-03-24 2001-09-18 Conexant Systems, Inc. Method and apparatus for high-resolution in-situ plasma etching of inorganic and metal films
US6440860B1 (en) * 2000-01-18 2002-08-27 Micron Technology, Inc. Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride
US7132219B2 (en) * 2001-02-02 2006-11-07 Brewer Science Inc. Polymeric antireflective coatings deposited by plasma enhanced chemical vapor deposition
US6573175B1 (en) 2001-11-30 2003-06-03 Micron Technology, Inc. Dry low k film application for interlevel dielectric and method of cleaning etched features
US6852474B2 (en) * 2002-04-30 2005-02-08 Brewer Science Inc. Polymeric antireflective coatings deposited by plasma enhanced chemical vapor deposition
KR100457844B1 (ko) * 2002-08-27 2004-11-18 삼성전자주식회사 반도체 장치의 식각 방법
US6853043B2 (en) * 2002-11-04 2005-02-08 Applied Materials, Inc. Nitrogen-free antireflective coating for use with photolithographic patterning
US20070207406A1 (en) * 2004-04-29 2007-09-06 Guerrero Douglas J Anti-reflective coatings using vinyl ether crosslinkers
US20050255410A1 (en) * 2004-04-29 2005-11-17 Guerrero Douglas J Anti-reflective coatings using vinyl ether crosslinkers
KR100591133B1 (ko) 2004-12-15 2006-06-19 동부일렉트로닉스 주식회사 불화아르곤용 포토레지스트를 이용한 게이트 패턴 형성 방법
US7914974B2 (en) 2006-08-18 2011-03-29 Brewer Science Inc. Anti-reflective imaging layer for multiple patterning process
JP4462273B2 (ja) * 2007-01-23 2010-05-12 セイコーエプソン株式会社 光学物品およびその製造方法
US8283258B2 (en) * 2007-08-16 2012-10-09 Micron Technology, Inc. Selective wet etching of hafnium aluminum oxide films
CN101971102B (zh) * 2008-01-29 2012-12-12 布鲁尔科技公司 用来通过多次暗视场曝光对硬掩模进行图案化的在线法
US9640396B2 (en) 2009-01-07 2017-05-02 Brewer Science Inc. Spin-on spacer materials for double- and triple-patterning lithography
CN111149039B (zh) 2017-10-05 2022-12-23 昕诺飞控股有限公司 灯具和照明方法

Family Cites Families (212)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2553314A (en) 1944-07-01 1951-05-15 Gen Electric Method of rendering materials water repellent
US4158717A (en) 1977-02-14 1979-06-19 Varian Associates, Inc. Silicon nitride film and method of deposition
US4523214A (en) 1981-07-03 1985-06-11 Fuji Photo Film Co., Ltd. Solid state image pickup device utilizing microcrystalline and amorphous silicon
US4562091A (en) 1982-12-23 1985-12-31 International Business Machines Corporation Use of plasma polymerized orgaosilicon films in fabrication of lift-off masks
US4444617A (en) 1983-01-06 1984-04-24 Rockwell International Corporation Reactive ion etching of molybdenum silicide and N+ polysilicon
US4474975A (en) 1983-05-09 1984-10-02 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Process for producing tris (N-methylamino) methylsilane
US4600671A (en) 1983-09-12 1986-07-15 Canon Kabushiki Kaisha Photoconductive member having light receiving layer of A-(Si-Ge) and N
US4702936A (en) 1984-09-20 1987-10-27 Applied Materials Japan, Inc. Gas-phase growth process
US4552783A (en) 1984-11-05 1985-11-12 General Electric Company Enhancing the selectivity of tungsten deposition on conductor and semiconductor surfaces
US4592129A (en) 1985-04-01 1986-06-03 Motorola, Inc. Method of making an integral, multiple layer antireflection coating by hydrogen ion implantation
JP2635021B2 (ja) 1985-09-26 1997-07-30 宣夫 御子柴 堆積膜形成法及びこれに用いる装置
US4648904A (en) 1986-02-14 1987-03-10 Scm Corporation Aqueous systems containing silanes for rendering masonry surfaces water repellant
US6087267A (en) 1986-03-04 2000-07-11 Motorola, Inc. Process for forming an integrated circuit
US4695859A (en) 1986-10-20 1987-09-22 Energy Conversion Devices, Inc. Thin film light emitting diode, photonic circuit employing said diode imager employing said circuits
US4764247A (en) 1987-03-18 1988-08-16 Syn Labs, Inc. Silicon containing resists
JPS63316476A (ja) 1987-06-18 1988-12-23 Seiko Instr & Electronics Ltd 半導体装置およびその製造方法
US4755478A (en) 1987-08-13 1988-07-05 International Business Machines Corporation Method of forming metal-strapped polysilicon gate electrode for FET device
US4870470A (en) 1987-10-16 1989-09-26 International Business Machines Corporation Non-volatile memory cell having Si rich silicon nitride charge trapping layer
US4863755A (en) 1987-10-16 1989-09-05 The Regents Of The University Of California Plasma enhanced chemical vapor deposition of thin films of silicon nitride from cyclic organosilicon nitrogen precursors
US4833096A (en) 1988-01-19 1989-05-23 Atmel Corporation EEPROM fabrication process
US4805683A (en) 1988-03-04 1989-02-21 International Business Machines Corporation Method for producing a plurality of layers of metallurgy
US4940509A (en) 1988-03-25 1990-07-10 Texas Instruments, Incorporated Isotropic etchant for capped silicide processes
JPH02285638A (ja) 1989-04-27 1990-11-22 Toshiba Corp 半導体装置
US5270267A (en) 1989-05-31 1993-12-14 Mitel Corporation Curing and passivation of spin on glasses by a plasma process wherein an external polarization field is applied to the substrate
US4910160A (en) 1989-06-06 1990-03-20 National Semiconductor Corporation High voltage complementary NPN/PNP process
US5061509A (en) 1989-08-25 1991-10-29 Kabushiki Kaisha Toshiba Method of manufacturing polyimide thin film and method of manufacturing liquid crystal orientation film of polyimide
US4971655A (en) 1989-12-26 1990-11-20 Micron Technology, Inc. Protection of a refractory metal silicide during high-temperature processing using a dual-layer cap of silicon dioxide and silicon nitride
US5244537A (en) 1989-12-27 1993-09-14 Honeywell, Inc. Fabrication of an electronic microvalve apparatus
US4992306A (en) 1990-02-01 1991-02-12 Air Products Abd Chemicals, Inc. Deposition of silicon dioxide and silicon oxynitride films using azidosilane sources
US5140390A (en) 1990-02-16 1992-08-18 Hughes Aircraft Company High speed silicon-on-insulator device
JP2814009B2 (ja) 1990-06-05 1998-10-22 三菱電機株式会社 半導体装置の製造方法
US5219613A (en) 1990-06-13 1993-06-15 Wacker-Chemitronic Gesellschaft Fur Elektronik-Grundstoffe Mbh Process for producing storage-stable surfaces of polished silicon wafers
JP2637265B2 (ja) 1990-06-28 1997-08-06 株式会社東芝 窒化珪素膜の形成方法
US5034348A (en) 1990-08-16 1991-07-23 International Business Machines Corp. Process for forming refractory metal silicide layers of different thicknesses in an integrated circuit
US5356515A (en) 1990-10-19 1994-10-18 Tokyo Electron Limited Dry etching method
EP0519079B1 (en) 1991-01-08 1999-03-03 Fujitsu Limited Process for forming silicon oxide film
US5302366A (en) 1991-03-28 1994-04-12 Phillips Petroleum Company Production of silicon product containing both carbon and nitrogen
CA2056456C (en) 1991-08-14 2001-05-08 Luc Ouellet High performance passivation for semiconductor devices
KR940009599B1 (ko) 1991-10-30 1994-10-15 삼성전자 주식회사 반도체 장치의 층간 절연막 형성방법
US5652187A (en) 1991-10-30 1997-07-29 Samsung Electronics Co., Ltd. Method for fabricating doped interlayer-dielectric film of semiconductor device using a plasma treatment
US5470772A (en) 1991-11-06 1995-11-28 Intel Corporation Silicidation method for contactless EPROM related devices
US5276347A (en) 1991-12-18 1994-01-04 Sgs-Thomson Microelectronics, Inc. Gate overlapping LDD structure
US5677111A (en) 1991-12-20 1997-10-14 Sony Corporation Process for production of micropattern utilizing antireflection film
US5472829A (en) 1991-12-30 1995-12-05 Sony Corporation Method of forming a resist pattern by using an anti-reflective layer
US5472827A (en) 1991-12-30 1995-12-05 Sony Corporation Method of forming a resist pattern using an anti-reflective layer
US5670297A (en) 1991-12-30 1997-09-23 Sony Corporation Process for the formation of a metal pattern
JPH0667019A (ja) 1992-01-17 1994-03-11 Asahi Glass Co Ltd 反射防止層およびその製造方法
US5543654A (en) 1992-01-28 1996-08-06 Thunderbird Technologies, Inc. Contoured-tub fermi-threshold field effect transistor and method of forming same
JPH05275345A (ja) 1992-03-30 1993-10-22 Nippon Sheet Glass Co Ltd プラズマcvd方法およびその装置
EP0572704B1 (en) 1992-06-05 2000-04-19 Semiconductor Process Laboratory Co., Ltd. Method for manufacturing a semiconductor device including method of reforming an insulating film formed by low temperature CVD
CA2137928C (en) 1992-07-04 2002-01-29 Christopher David Dobson A method of treating a semiconductor wafer
TW349185B (en) 1992-08-20 1999-01-01 Sony Corp A semiconductor device
US5286661A (en) 1992-08-26 1994-02-15 Motorola, Inc. Method of forming a bipolar transistor having an emitter overhang
DE4231312C2 (de) 1992-09-18 1996-10-02 Siemens Ag Antireflexschicht und Verfahren zur lithografischen Strukturierung einer Schicht
JP2684942B2 (ja) 1992-11-30 1997-12-03 日本電気株式会社 化学気相成長法と化学気相成長装置および多層配線の製造方法
US5429987A (en) 1993-01-25 1995-07-04 Sharp Microelectronics Technology, Inc. Method for profile control of selective metallization
US5312768A (en) 1993-03-09 1994-05-17 Micron Technology, Inc. Integrated process for fabricating raised, source/drain, short-channel transistors
US5397684A (en) 1993-04-27 1995-03-14 International Business Machines Corporation Antireflective polyimide dielectric for photolithography
US5378659A (en) 1993-07-06 1995-01-03 Motorola Inc. Method and structure for forming an integrated circuit pattern on a semiconductor substrate
KR970004447B1 (ko) 1993-09-08 1997-03-27 삼성전자 주식회사 반사방지막 제조 방법 및 이를 이용한 반도체 장치의 제조 방법
KR970007116B1 (ko) 1993-08-31 1997-05-02 삼성전자 주식회사 반도체장치의 절연층 형성방법 및 그 형성장치
JP2641385B2 (ja) 1993-09-24 1997-08-13 アプライド マテリアルズ インコーポレイテッド 膜形成方法
FR2711275B1 (fr) * 1993-10-15 1996-10-31 Intel Corp Procédé automatiquement aligné de contact en fabrication de semi-conducteurs et dispositifs produits.
US5508881A (en) 1994-02-01 1996-04-16 Quality Microcircuits Corporation Capacitors and interconnect lines for use with integrated circuits
US5677015A (en) 1994-03-17 1997-10-14 Sony Corporation High dielectric constant material containing tantalum, process for forming high dielectric constant film containing tantalum, and semiconductor device using the same
JP3254885B2 (ja) 1994-03-22 2002-02-12 双葉電子工業株式会社 抵抗体の製造方法
KR100366910B1 (ko) 1994-04-05 2003-03-04 소니 가부시끼 가이샤 반도체장치의제조방법
US5441914A (en) * 1994-05-02 1995-08-15 Motorola Inc. Method of forming conductive interconnect structure
US5858880A (en) 1994-05-14 1999-01-12 Trikon Equipment Limited Method of treating a semi-conductor wafer
US5461003A (en) 1994-05-27 1995-10-24 Texas Instruments Incorporated Multilevel interconnect structure with air gaps formed between metal leads
KR100306527B1 (ko) 1994-06-15 2002-06-26 구사마 사부로 박막반도체장치의제조방법,박막반도체장치
US5536857A (en) 1994-07-05 1996-07-16 Ford Motor Company Single source volatile precursor for SiO2.TiO2 powders and films
KR960005761A (ko) 1994-07-27 1996-02-23 이데이 노부유끼 반도체장치
US5413963A (en) 1994-08-12 1995-05-09 United Microelectronics Corporation Method for depositing an insulating interlayer in a semiconductor metallurgy system
US5482894A (en) 1994-08-23 1996-01-09 Texas Instruments Incorporated Method of fabricating a self-aligned contact using organic dielectric materials
JP3963961B2 (ja) 1994-08-31 2007-08-22 株式会社半導体エネルギー研究所 半導体装置の作製方法
US5554567A (en) 1994-09-01 1996-09-10 Taiwan Semiconductor Manufacturing Company Ltd. Method for improving adhesion to a spin-on-glass
US5439838A (en) 1994-09-14 1995-08-08 United Microelectronics Corporation Method of thinning for EEPROM tunneling oxide device
US5600153A (en) 1994-10-07 1997-02-04 Micron Technology, Inc. Conductive polysilicon lines and thin film transistors
JP3334370B2 (ja) 1994-10-13 2002-10-15 ヤマハ株式会社 半導体デバイス
US5498555A (en) 1994-11-07 1996-03-12 United Microelectronics Corporation Method of making LDD with polysilicon and dielectric spacers
DE19500674A1 (de) 1995-01-12 1996-07-18 Degussa Oberflächenmodifizierte pyrogen hergestellte Mischoxide, Verfahren zu ihrer Herstellung und Verwendung
NO303649B1 (no) 1995-02-03 1998-08-10 Bj Services As Broplugg
JP3348263B2 (ja) 1995-02-08 2002-11-20 富士通株式会社 半導体装置の製造方法
JPH08239241A (ja) 1995-02-28 1996-09-17 Toray Dow Corning Silicone Co Ltd ガラス用撥水処理剤および撥水性ガラス
US5962581A (en) 1995-04-28 1999-10-05 Kabushiki Kaisha Toshiba Silicone polymer composition, method of forming a pattern and method of forming an insulating film
FR2734402B1 (fr) 1995-05-15 1997-07-18 Brouquet Pierre Procede pour l'isolement electrique en micro-electronique, applicable aux cavites etroites, par depot d'oxyde a l'etat visqueux et dispositif correspondant
US5710067A (en) 1995-06-07 1998-01-20 Advanced Micro Devices, Inc. Silicon oxime film
US6040619A (en) 1995-06-07 2000-03-21 Advanced Micro Devices Semiconductor device including antireflective etch stop layer
JPH0955351A (ja) 1995-08-15 1997-02-25 Sony Corp 半導体装置の製造方法
JP3061255B2 (ja) 1995-08-18 2000-07-10 キヤノン販売株式会社 成膜方法
US5948482A (en) 1995-09-19 1999-09-07 University Of New Mexico Ambient pressure process for preparing aerogel thin films reliquified sols useful in preparing aerogel thin films
US5750442A (en) 1995-09-25 1998-05-12 Micron Technology, Inc. Germanium as an antireflective coating and method of use
TW362118B (en) 1995-10-30 1999-06-21 Dow Corning Method for depositing amorphous SiNC coatings
US5744399A (en) 1995-11-13 1998-04-28 Lsi Logic Corporation Process for forming low dielectric constant layers using fullerenes
US5968324A (en) 1995-12-05 1999-10-19 Applied Materials, Inc. Method and apparatus for depositing antireflective coating
EP0793271A3 (en) * 1996-02-22 1998-12-02 Matsushita Electric Industrial Co., Ltd. Semiconductor device having a metal silicide film and method of fabricating the same
US5838052A (en) 1996-03-07 1998-11-17 Micron Technology, Inc. Reducing reflectivity on a semiconductor wafer by annealing titanium and aluminum
US6008121A (en) 1996-03-19 1999-12-28 Siemens Aktiengesellschaft Etching high aspect contact holes in solid state devices
US5759746A (en) 1996-05-24 1998-06-02 Kabushiki Kaisha Toshiba Fabrication process using a thin resist
US6127262A (en) 1996-06-28 2000-10-03 Applied Materials, Inc. Method and apparatus for depositing an etch stop layer
KR100255512B1 (ko) 1996-06-29 2000-05-01 김영환 플래쉬 메모리 소자 제조방법
JPH1041506A (ja) * 1996-07-25 1998-02-13 Nec Corp 半導体装置及びその製造方法
US5661093A (en) 1996-09-12 1997-08-26 Applied Materials, Inc. Method for the stabilization of halogen-doped films through the use of multiple sealing layers
US5691212A (en) 1996-09-27 1997-11-25 Taiwan Semiconductor Manufacturing Company, Ltd. MOS device structure and integration method
US5711987A (en) 1996-10-04 1998-01-27 Dow Corning Corporation Electronic coatings
KR100243266B1 (ko) * 1996-10-24 2000-03-02 윤종용 (Ge,Si)Nx반사방지막및이를이용한패턴형성방법
US5923999A (en) * 1996-10-29 1999-07-13 International Business Machines Corporation Method of controlling dopant diffusion and metal contamination in thin polycide gate conductor of mosfet device
US5994730A (en) 1996-11-21 1999-11-30 Alliance Semiconductor Corporation DRAM cell having storage capacitor contact self-aligned to bit lines and word lines
US5994217A (en) 1996-12-16 1999-11-30 Chartered Semiconductor Manufacturing Ltd. Post metallization stress relief annealing heat treatment for ARC TiN over aluminum layers
US5796151A (en) 1996-12-19 1998-08-18 Texas Instruments Incorporated Semiconductor stack having a dielectric sidewall for prevention of oxidation of tungsten in tungsten capped poly-silicon gate electrodes
US6184158B1 (en) 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
US5840610A (en) 1997-01-16 1998-11-24 Advanced Micro Devices, Inc. Enhanced oxynitride gate dielectrics using NF3 gas
US5783493A (en) 1997-01-27 1998-07-21 Taiwan Semiconductor Manufacturing Company Ltd. Method for reducing precipitate defects using a plasma treatment post BPSG etchback
US5807660A (en) 1997-02-03 1998-09-15 Taiwan Semiconductor Manufacturing Company Ltd. Avoid photoresist lifting by post-oxide-dep plasma treatment
JPH10261574A (ja) 1997-03-19 1998-09-29 Fujitsu Ltd 半導体装置の製造方法
US5792689A (en) 1997-04-11 1998-08-11 Vanguard International Semiconducter Corporation Method for manufacturing double-crown capacitors self-aligned to node contacts on dynamic random access memory
US6284677B1 (en) * 1997-04-18 2001-09-04 United Semiconductor Corp. Method of forming fluorosilicate glass (FSG) layers with moisture-resistant capability
US5933721A (en) 1997-04-21 1999-08-03 Advanced Micro Devices, Inc. Method for fabricating differential threshold voltage transistor pair
TW375779B (en) 1997-06-03 1999-12-01 United Microelectronics Corp Method for treating via side wall
US5883011A (en) * 1997-06-18 1999-03-16 Vlsi Technology, Inc. Method of removing an inorganic antireflective coating from a semiconductor substrate
JPH1116904A (ja) * 1997-06-26 1999-01-22 Mitsubishi Electric Corp 半導体装置及びその製造方法
JP3390329B2 (ja) 1997-06-27 2003-03-24 日本電気株式会社 半導体装置およびその製造方法
KR100248144B1 (ko) 1997-06-30 2000-03-15 김영환 반도체 소자의 콘택 제조방법
US5973356A (en) 1997-07-08 1999-10-26 Micron Technology, Inc. Ultra high density flash memory
US5851603A (en) * 1997-07-14 1998-12-22 Vanguard International Semiconductor Corporation Method for making a plasma-enhanced chemical vapor deposited SiO2 Si3 N4 multilayer passivation layer for semiconductor applications
US6013553A (en) * 1997-07-24 2000-01-11 Texas Instruments Incorporated Zirconium and/or hafnium oxynitride gate dielectric
US6133618A (en) 1997-08-14 2000-10-17 Lucent Technologies Inc. Semiconductor device having an anti-reflective layer and a method of manufacture thereof
US5959325A (en) 1997-08-21 1999-09-28 International Business Machines Corporation Method for forming cornered images on a substrate and photomask formed thereby
US6121133A (en) * 1997-08-22 2000-09-19 Micron Technology, Inc. Isolation using an antireflective coating
US6060766A (en) 1997-08-25 2000-05-09 Advanced Micro Devices, Inc. Protection of hydrogen sensitive regions in semiconductor devices from the positive charge associated with plasma deposited barriers or layers
TW368687B (en) 1997-10-22 1999-09-01 Air Products San Fu Co Ltd Method for improving water-resistant property of silicon nitride thin film doped with fluorine
US6187694B1 (en) 1997-11-10 2001-02-13 Intel Corporation Method of fabricating a feature in an integrated circuit using two edge definition layers and a spacer
US5968611A (en) 1997-11-26 1999-10-19 The Research Foundation Of State University Of New York Silicon nitrogen-based films and method of making the same
US6218292B1 (en) * 1997-12-18 2001-04-17 Advanced Micro Devices, Inc. Dual layer bottom anti-reflective coating
JPH11195704A (ja) 1998-01-05 1999-07-21 Mitsubishi Electric Corp 半導体装置およびその製造方法
US6204168B1 (en) 1998-02-02 2001-03-20 Applied Materials, Inc. Damascene structure fabricated using a layer of silicon-based photoresist material
US6133613A (en) 1998-02-03 2000-10-17 Vanguard International Semiconductor Corporation Anti-reflection oxynitride film for tungsten-silicide substrates
US6118163A (en) * 1998-02-04 2000-09-12 Advanced Micro Devices, Inc. Transistor with integrated poly/metal gate electrode
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6004850A (en) 1998-02-23 1999-12-21 Motorola Inc. Tantalum oxide anti-reflective coating (ARC) integrated with a metallic transistor gate electrode and method of formation
US6274292B1 (en) 1998-02-25 2001-08-14 Micron Technology, Inc. Semiconductor processing methods
EP0942330A1 (en) 1998-03-11 1999-09-15 Applied Materials, Inc. Process for depositing and developing a plasma polymerized organosilicon photoresist film
US6136636A (en) 1998-03-25 2000-10-24 Texas Instruments - Acer Incorporated Method of manufacturing deep sub-micron CMOS transistors
US6001741A (en) 1998-04-15 1999-12-14 Lucent Technologies Inc. Method for making field effect devices and capacitors with improved thin film dielectrics and resulting devices
US6140151A (en) 1998-05-22 2000-10-31 Micron Technology, Inc. Semiconductor wafer processing method
US6159871A (en) 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US5960289A (en) * 1998-06-22 1999-09-28 Motorola, Inc. Method for making a dual-thickness gate oxide layer using a nitride/oxide composite region
US6140677A (en) 1998-06-26 2000-10-31 Advanced Micro Devices, Inc. Semiconductor topography for a high speed MOSFET having an ultra narrow gate
US6001747A (en) 1998-07-22 1999-12-14 Vlsi Technology, Inc. Process to improve adhesion of cap layers in integrated circuits
JP2000068261A (ja) 1998-08-19 2000-03-03 Toshiba Corp 半導体装置の製造方法
US6208004B1 (en) * 1998-08-19 2001-03-27 Philips Semiconductor, Inc. Semiconductor device with high-temperature-stable gate electrode for sub-micron applications and fabrication thereof
US6159804A (en) 1998-09-02 2000-12-12 Advanced Micro Devices, Inc. Disposable sidewall oxidation fabrication method for making a transistor having an ultra short channel length
US6087064A (en) 1998-09-03 2000-07-11 International Business Machines Corporation Silsesquioxane polymers, method of synthesis, photoresist composition, and multilayer lithographic method
US6268282B1 (en) * 1998-09-03 2001-07-31 Micron Technology, Inc. Semiconductor processing methods of forming and utilizing antireflective material layers, and methods of forming transistor gate stacks
US6281100B1 (en) 1998-09-03 2001-08-28 Micron Technology, Inc. Semiconductor processing methods
US6323101B1 (en) 1998-09-03 2001-11-27 Micron Technology, Inc. Semiconductor processing methods, methods of forming silicon dioxide methods of forming trench isolation regions, and methods of forming interlevel dielectric layers
US6373114B1 (en) 1998-10-23 2002-04-16 Micron Technology, Inc. Barrier in gate stack for improved gate dielectric integrity
US5981368A (en) 1998-11-05 1999-11-09 Advanced Micro Devices Enhanced shallow junction design by polysilicon line width reduction using oxidation with integrated spacer formation
US6156674A (en) 1998-11-25 2000-12-05 Micron Technology, Inc. Semiconductor processing methods of forming insulative materials
US6444593B1 (en) 1998-12-02 2002-09-03 Advanced Micro Devices, Inc. Surface treatment of low-K SiOF to prevent metal interaction
US6133096A (en) 1998-12-10 2000-10-17 Su; Hung-Der Process for simultaneously fabricating a stack gate flash memory cell and salicided periphereral devices
US6143670A (en) 1998-12-28 2000-11-07 Taiwan Semiconductor Manufacturing Company Method to improve adhesion between low dielectric constant layer and silicon containing dielectric layer
US6235568B1 (en) 1999-01-22 2001-05-22 Intel Corporation Semiconductor device having deposited silicon regions and a method of fabrication
US6037228A (en) * 1999-02-12 2000-03-14 United Microelectronics Corp. Method of fabricating self-aligned contact window which includes forming a undoped polysilicon spacer that extends into a recess of the gate structure
US6492688B1 (en) 1999-03-02 2002-12-10 Siemens Aktiengesellschaft Dual work function CMOS device
US6187657B1 (en) 1999-03-24 2001-02-13 Advanced Micro Devices, Inc. Dual material gate MOSFET technique
US6200863B1 (en) * 1999-03-24 2001-03-13 Advanced Micro Devices, Inc. Process for fabricating a semiconductor device having assymetric source-drain extension regions
US6028015A (en) 1999-03-29 2000-02-22 Lsi Logic Corporation Process for treating damaged surfaces of low dielectric constant organo silicon oxide insulation material to inhibit moisture absorption
US6503818B1 (en) 1999-04-02 2003-01-07 Taiwan Semiconductor Manufacturing Company Delamination resistant multi-layer composite dielectric layer employing low dielectric constant dielectric material
US6432791B1 (en) * 1999-04-14 2002-08-13 Texas Instruments Incorporated Integrated circuit capacitor and method
TW420844B (en) 1999-06-21 2001-02-01 Taiwan Semiconductor Mfg Method for decreasing the removing rate of polishing for low dielectric constant material
US6096656A (en) 1999-06-24 2000-08-01 Sandia Corporation Formation of microchannels from low-temperature plasma-deposited silicon oxynitride
US6030901A (en) 1999-06-24 2000-02-29 Advanced Micro Devices, Inc. Photoresist stripping without degrading low dielectric constant materials
US6130168A (en) 1999-07-08 2000-10-10 Taiwan Semiconductor Manufacturing Company Using ONO as hard mask to reduce STI oxide loss on low voltage device in flash or EPROM process
US6221708B1 (en) 1999-07-23 2001-04-24 Micron Technology, Inc. Field effect transistor assemblies, integrated circuitry, and methods of forming field effect transistors and integrated circuitry
US6281135B1 (en) * 1999-08-05 2001-08-28 Axcelis Technologies, Inc. Oxygen free plasma stripping process
ATE418158T1 (de) 1999-08-17 2009-01-15 Applied Materials Inc Oberflächenbehandlung von kohlenstoffdotierten sio2-filmen zur erhöhung der stabilität während der o2-veraschung
EP1077479A1 (en) 1999-08-17 2001-02-21 Applied Materials, Inc. Post-deposition treatment to enchance properties of Si-O-C low K film
US6198144B1 (en) 1999-08-18 2001-03-06 Micron Technology, Inc. Passivation of sidewalls of a word line stack
US7067414B1 (en) * 1999-09-01 2006-06-27 Micron Technology, Inc. Low k interlevel dielectric layer fabrication methods
US6235591B1 (en) 1999-10-25 2001-05-22 Chartered Semiconductor Manufacturing Company Method to form gate oxides of different thicknesses on a silicon substrate
US6403464B1 (en) 1999-11-03 2002-06-11 Taiwan Semiconductor Manufacturing Company Method to reduce the moisture content in an organic low dielectric constant material
JP2001160558A (ja) 1999-12-02 2001-06-12 Nec Corp 半導体装置の製造方法及び製造装置
TW429473B (en) 1999-12-16 2001-04-11 United Microelectronics Corp Method for forming dielectric layer with capability to resist the diffusion of copper
US6518122B1 (en) 1999-12-17 2003-02-11 Chartered Semiconductor Manufacturing Ltd. Low voltage programmable and erasable flash EEPROM
US6949203B2 (en) * 1999-12-28 2005-09-27 Applied Materials, Inc. System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
US6440860B1 (en) 2000-01-18 2002-08-27 Micron Technology, Inc. Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride
GB0001179D0 (en) * 2000-01-19 2000-03-08 Trikon Holdings Ltd Methods & apparatus for forming a film on a substrate
US6558755B2 (en) 2000-03-20 2003-05-06 Dow Corning Corporation Plasma curing process for porous silica thin film
US6794311B2 (en) * 2000-07-14 2004-09-21 Applied Materials Inc. Method and apparatus for treating low k dielectric layers to reduce diffusion
US20020033486A1 (en) 2000-08-04 2002-03-21 Samsung Electronics Co., Ltd. Method for forming an interconnection line using a hydrosilsesquioxane (HSQ) layer as an interlayer insulating layer
US7166524B2 (en) * 2000-08-11 2007-01-23 Applied Materials, Inc. Method for ion implanting insulator material to reduce dielectric constant
JP2002110679A (ja) * 2000-09-29 2002-04-12 Hitachi Ltd 半導体集積回路装置の製造方法
TW462085B (en) 2000-10-26 2001-11-01 United Microelectronics Corp Planarization of organic silicon low dielectric constant material by chemical mechanical polishing
US6436808B1 (en) 2000-12-07 2002-08-20 Advanced Micro Devices, Inc. NH3/N2-plasma treatment to prevent organic ILD degradation
US6720247B2 (en) * 2000-12-14 2004-04-13 Texas Instruments Incorporated Pre-pattern surface modification for low-k dielectrics using A H2 plasma
US6583047B2 (en) 2000-12-26 2003-06-24 Honeywell International, Inc. Method for eliminating reaction between photoresist and OSG
TW471134B (en) 2001-02-27 2002-01-01 United Microelectronics Corp Manufacturing method for multilevel interconnects
US6348407B1 (en) * 2001-03-15 2002-02-19 Chartered Semiconductor Manufacturing Inc. Method to improve adhesion of organic dielectrics in dual damascene interconnects
US6486057B1 (en) 2001-04-12 2002-11-26 National Science Council Process for preparing Cu damascene interconnection
US6979654B2 (en) 2001-07-03 2005-12-27 United Microelectronics Corp. Method of avoiding dielectric layer deterioation with a low dielectric constant during a stripping process
US6656837B2 (en) * 2001-10-11 2003-12-02 Applied Materials, Inc. Method of eliminating photoresist poisoning in damascene applications
JP4063619B2 (ja) * 2002-03-13 2008-03-19 Necエレクトロニクス株式会社 半導体装置の製造方法
JP4177993B2 (ja) * 2002-04-18 2008-11-05 株式会社ルネサステクノロジ 半導体装置及びその製造方法
US20040071878A1 (en) * 2002-08-15 2004-04-15 Interuniversitair Microelektronica Centrum (Imec Vzw) Surface preparation using plasma for ALD Films
US6632712B1 (en) * 2002-10-03 2003-10-14 Chartered Semiconductor Manufacturing Ltd. Method of fabricating variable length vertical transistors
US6811936B2 (en) * 2002-12-31 2004-11-02 Freescale Semiconductor Inc. Structure and process for a pellicle membrane for 157 nanometer lithography
JP2004273483A (ja) * 2003-03-05 2004-09-30 Sanyo Electric Co Ltd 配線構造の形成方法
JP2005050954A (ja) * 2003-07-31 2005-02-24 Toshiba Corp 半導体装置およびその製造方法
US6790778B1 (en) * 2003-09-10 2004-09-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method for capping over a copper layer
US7250370B2 (en) * 2003-09-19 2007-07-31 Taiwan Semiconductor Manufacturing Company, Ltd. Two step post-deposition treatment of ILD layer for a lower dielectric constant and improved mechanical properties

Also Published As

Publication number Publication date
KR100423560B1 (ko) 2004-03-18
WO2000014781A1 (en) 2000-03-16
JP3542118B2 (ja) 2004-07-14
US6268282B1 (en) 2001-07-31
US7151054B2 (en) 2006-12-19
US20040180537A1 (en) 2004-09-16
JP2002524873A (ja) 2002-08-06
US20010044221A1 (en) 2001-11-22
US6727173B2 (en) 2004-04-27
AU5590799A (en) 2000-03-27

Similar Documents

Publication Publication Date Title
KR100423560B1 (ko) 반도체 공정 방법 및 트랜지스터 게이트 적층구조 형성 방법
US20090294878A1 (en) Circuitry and gate stacks
US6461970B1 (en) Method of reducing defects in anti-reflective coatings and semiconductor structures fabricated thereby
US6214637B1 (en) Method of forming a photoresist pattern on a semiconductor substrate using an anti-reflective coating deposited using only a hydrocarbon based gas
US8465903B2 (en) Radiation patternable CVD film
US5710067A (en) Silicon oxime film
US6133618A (en) Semiconductor device having an anti-reflective layer and a method of manufacture thereof
US8293651B2 (en) Method of forming thin film pattern for semiconductor device and apparatus for the same
US5962195A (en) Method for controlling linewidth by etching bottom anti-reflective coating
US6365320B1 (en) Process for forming anti-reflective film for semiconductor fabrication using extremely short wavelength deep ultraviolet photolithography
KR100893675B1 (ko) 비정질 탄소막 형성 방법 및 이를 이용한 반도체 소자의제조 방법
US6903007B1 (en) Process for forming bottom anti-reflection coating for semiconductor fabrication photolithography which inhibits photoresist footing
KR100326954B1 (ko) 반도체기기 제조방법
Nakata et al. LOW-TEMPERATURE-PROCESSED GATE INSULATOR FOR POLY-SI TFTS MADE THROUGH A COMBINATION OF PHOTO-OXIDATION AND PECVD
Nault et al. Single layer chemical vapor deposition photoresist for 193 nm deep ultraviolet photolithography
Zhang et al. Vacuum Ultraviolet Annealing of Tantalum Oxide Films Deposited at Room Temperature by Photo-Induced Cvd
US20050064688A1 (en) Methods for fabricating semiconductor devices
JPH07307277A (ja) 反射防止膜の形成方法および微細パターンの形成方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130227

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20140220

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20150130

Year of fee payment: 12

LAPS Lapse due to unpaid annual fee