TW420844B - Method for decreasing the removing rate of polishing for low dielectric constant material - Google Patents

Method for decreasing the removing rate of polishing for low dielectric constant material Download PDF

Info

Publication number
TW420844B
TW420844B TW88110309A TW88110309A TW420844B TW 420844 B TW420844 B TW 420844B TW 88110309 A TW88110309 A TW 88110309A TW 88110309 A TW88110309 A TW 88110309A TW 420844 B TW420844 B TW 420844B
Authority
TW
Taiwan
Prior art keywords
plasma
scope
patent application
dielectric constant
low dielectric
Prior art date
Application number
TW88110309A
Other languages
Chinese (zh)
Inventor
Tien-I Bao
Syun-Ming Jang
Original Assignee
Taiwan Semiconductor Mfg
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Mfg filed Critical Taiwan Semiconductor Mfg
Priority to TW88110309A priority Critical patent/TW420844B/en
Application granted granted Critical
Publication of TW420844B publication Critical patent/TW420844B/en

Links

Landscapes

  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

The present invention discloses a method for decreasing the removing rate of polishing for low dielectric constant material, comprising the steps of: depositing a low dielectric constant material on a semiconductor substrate so as to form a dielectric layer; performing a NH3 plasma, N2 plasma or Ar plasma process to increase the density degree of the dielectric layer thereby decreasing the removing rate of polishing. With the method of the present invention, the selectivity of the chemical mechanical polishing process is increased, so as to assist the integration of the low dielectric constant material and Cu damascene process.

Description

五、發明說明(1) 【發明領域】 本發明是有關於半導體製程技術,且特別是有關於— 種降低低介電常數材料之研磨去除速率的方法,其可改善 鑲故式銅製程之研磨選擇率,使低介電常數材料可直接整 Q在鑲嵌式銅製程的應用中,而不須使用額外的保護層造 成金屬間介電層之寄生電容增加。 【發明背景】 隨著積體電路日趨精密與複雜化,為了能夠在有限的 曰日片表面上製作足夠的金屬内連線’目前大多採用多層内 連線的立體架構方式’以完成各個元件的連接,並以金屬 間介電層(IMD : Inter-Metal Dielectrics)來作為隔離各 金屬内連線之介電材料。在習知技藝中用來作為金屬間介 電層的材料包括有:電漿氧化矽(pE_0X ;plasma enhanced oxide) '電漿四乙氧基碎玻璃(叩_丁£〇5; plasma enhanced tetraethyl orthosilicate glass)、 旋塗式玻璃、低介電常數之介電材(如Dow_c〇ring公司生 產的F0x-1 5)等。 近年來配合元件尺寸縮小化的發展以及提高元件 操作速度的需<求’具有低電阻常數和高電子遷移阻抗的銅 金屬,已逐漸被應用來作為金屬内連線的材質,取代以往 的铭金屬製程技術。其中配合銅金屬的鑲嵌式(Cu dainascene)内連線技術不僅可達到内連線的縮小化,同時 也解決了金屬銅蝕刻不易的問題,因此已成為現今多重内 連線主要的發展趨勢。另一方面,為了將金屬間介電層的V. Description of the Invention (1) [Field of the Invention] The present invention relates to semiconductor process technology, and in particular, to a method for reducing the grinding removal rate of a low dielectric constant material, which can improve the grinding of the inlay copper process. The selectivity enables low-k dielectric materials to be directly tuned in the application of damascene copper processes without the need for additional protective layers to increase the parasitic capacitance of the intermetal dielectric layer. [Background of the Invention] With the increasing precision and complexity of integrated circuits, in order to be able to make enough metal interconnects on a limited number of Japanese film surfaces, 'there are currently three-dimensional architecture methods using multilayer interconnects' to complete the components. They are connected, and an intermetal dielectric layer (IMD: Inter-Metal Dielectrics) is used as a dielectric material to isolate the interconnections between the metals. Materials that are used as intermetal dielectric layers in conventional techniques include: plasma enhanced oxide (pE_0X; plasma enhanced oxide) 'plasma tetraethoxy cullet (叩 _ 丁 £ 〇5; plasma enhanced tetraethyl orthosilicate glass), spin-on glass, dielectric materials with low dielectric constant (such as F0x-1 5 produced by Dow Coring Co.). In recent years, the development of the reduction in the size of components and the need to improve the speed of operation of the components < seeking 'copper metal with low resistance constant and high electron migration resistance, have gradually been used as the material of metal interconnects, replacing the previous inscription Metal process technology. Among them, copper damascene (Cu dainascene) interconnect technology can not only reduce the interconnect size, but also solve the problem of difficult copper etching, so it has become the main development trend of multiple interconnects today. On the other hand, in order to

第4頁 五、發明說明(2) 電容儘可能的降低’目前已使用如FSQ、HSQ (hydrogen silses-quioxane)、MSQ (raethyi silsesquioxane)等低 介電常數的材料來作為金屬間介電層,以減少串音(cross talk)與RC時間延遲。 在鑲嵌式銅製程的最後,需要進行化學機械研磨法將 介電層上多餘的銅與阻障金屬去除。然而,由於這類低介 電常數材料通常具有微孔結構(如HSQ),其化學機械研磨 的去除速率比一般氧化層要高很多,因此在進行"氧化物 拋光(oxide buffing)”程序時會有嚴重的損失,這使得這 類低介電常數材料很難直接應用在銅鑲嵌製程中。請參照 第1圖’為了避免過度研磨的情形,一般而言,必須在典 介電常數材料12 (如HSQ)上增設一層電漿氧化矽層14來作 為保護。但如此一來,卻也使得寄生電容增大,導致元件 RC延遲時間增長而影響產品的操作效能,亟待提出有效的 改善之道。 【發明概述】 有鑑於此,本發明的主要目的就是提供一種降低低5. Description of the invention on page 4 (2) Capacitance should be reduced as much as possible 'At present, low dielectric constant materials such as FSQ, HSQ (hydrogen silses-quioxane), and MSQ (raethyi silsesquioxane) have been used as intermetal dielectric layers. In order to reduce cross talk and RC time delay. At the end of the damascene copper process, chemical mechanical polishing is required to remove excess copper and barrier metals from the dielectric layer. However, since such low dielectric constant materials usually have a microporous structure (such as HSQ), the removal rate of chemical mechanical polishing is much higher than that of ordinary oxide layers. Therefore, during the "oxide buffing" procedure, There will be serious losses, which makes it difficult for such low dielectric constant materials to be directly used in the copper damascene process. Please refer to Figure 1 'To avoid excessive grinding, in general, the dielectric constant materials must be used. (Such as HSQ), a plasma silicon oxide layer 14 is added for protection. However, this also increases the parasitic capacitance, which leads to the increase of the RC delay time of the component and affects the operation efficiency of the product. It is urgent to propose an effective improvement [Summary of the Invention] In view of this, the main object of the present invention is to provide a

的就是提供一種降低低介 法’以改善鑲嵌式銅製程Is to provide a method to reduce the low dielectrics ’to improve the mosaic copper process.

五、發明說明(3) 形成具有保護效果 要步驟包括:沈積 形成一介電層;以 程序,以增加此介 率 0 之硬質表面D根據本 一低介電常數材料於 及施行一nh3電敷或n2 電層之敏密化程度而 發明之方法,其主 ''半導體基底上而 電漿或Ar電漿處理 降低其研磨去除速 上述電渡處理程序可利S —電漿化學氣相沈積法施 行’較佳者,係利用高密度電漿化學氣相沈積法 (HDP-CVD)施行之。適用於本發明之低介電常數材料包 括:HSQ (hydrogen silses-quioxane) 、MSQ (methyl silsesquioxane) 、H-PSSQ(hydrio polysilsesquioxane) >M-PSSQ (methyl polysilsesquioxane) 'P-PSSQ (phenyl polysilsesQuioxane) 、 FLARE (Allied Signal 或 Microwave Materials 產製)、SILK (Dow Chemical 產 製)' Xerogel、Nanog 1 ass、及PAE-2 o 本發明之範圍更包括一種以低介電常數材料為金屬間 介電層之鑲嵌式銅製程,其特徵在於藉由上述電漿處理程 序而提高銅製程之研磨選擇比,該製程包括下列步驟: Ca)沈積一低介電常數材料於一半導體基底上而形成 一介電層; (b) 施行一NH3電漿或N2電漿或Ar電漿處理程序,以增 加此介電層之緻密化程度而降低其後續之研磨去除速率; (c) 定義一開口於介電層中; (d) 沈積一銅金屬層於介電層上,並填滿上述開口;V. Description of the invention (3) The main steps of forming a protective effect include: depositing a dielectric layer; using a procedure to increase the hard surface of the dielectric rate 0; D; and applying a nh3 electrodeposition according to the present low dielectric constant material. The method invented by the degree of sensitization of the n2 electric layer, its main '' semiconductor substrate, and the plasma or Ar plasma treatment reduces its grinding and removal rate. The above electrolysis process can benefit S-plasma chemical vapor deposition The 'better implementation' is performed by high-density plasma chemical vapor deposition (HDP-CVD). Low dielectric constant materials suitable for the present invention include: HSQ (hydrogen silses-quioxane), MSQ (methyl silsesquioxane), H-PSSQ (hydrio polysilsesquioxane) > M-PSSQ (methyl polysilsesquioxane) 'P-PSSQ (phenyl polysilsesQuioxane) , FLARE (manufactured by Allied Signal or Microwave Materials), SILK (manufactured by Dow Chemical) 'Xerogel, Nanog 1 ass, and PAE-2 o The scope of the invention further includes a low dielectric constant material as the intermetal dielectric layer The mosaic copper process is characterized in that the grinding selection ratio of the copper process is improved by the above-mentioned plasma treatment process, and the process includes the following steps: Ca) A low dielectric constant material is deposited on a semiconductor substrate to form a dielectric (B) implement an NH3 plasma or N2 plasma or Ar plasma treatment process to increase the density of this dielectric layer and reduce its subsequent grinding removal rate; (c) define an opening in the dielectric layer (D) depositing a copper metal layer on the dielectric layer and filling the opening;

第6頁 …'/1 4 :诏 五、發明說明⑷ · ' — 以及 (e)以化學機械研磨法去除上述開口以外之銅金屬 層;其中上述電漿處理程序降低介電層之研磨去除速率, 而提高此研磨程序之選擇率。 為讓本發明之上述和其他目的、特徵、和優點能更明 顯易懂,下文特舉一較佳實施例,並配合所附圖式,作詳 細說明如下: 【圖式之簡單說明】 第1圖為一剖面圖,用以說明習知中使用研磨保護層 的鑲嵌式銅製程。 第2 A〜2 C圖為一系列刮面圖’用以說明本發明一較佳 實施例之鑲嵌式銅製程。 【符號說明】 10、20~基底與半導體元件; 12、22〜低介電常數之金屬間介電層; 1 4〜保護層; 2 4〜阻障層; 26〜銅金屬層。 【實施例】 本實施例係根據本發明之方法應用在鑲嵌結構的鋼金 屬内連線製程上,為方便起見,以下僅以單鑲嵌製程為例 進行說明,但熟悉此技藝者亦可應用在雙鑲嵌製程上。 請參照第2A圖,其顯示本實施例之起始步驟。標號2〇 的部分,可能包含數層金屬内連線與數個電性上相互連接Page 6 ... '/ 1 4: 诏 V. Description of the invention⑷' — and (e) removing the copper metal layer other than the opening by a chemical mechanical polishing method; wherein the above plasma treatment procedure reduces the polishing removal rate of the dielectric layer , And increase the selection rate of this grinding process. In order to make the above and other objects, features, and advantages of the present invention more comprehensible, a preferred embodiment is described below in detail with the accompanying drawings as follows: [Simplified description of the drawings] Section 1 The figure is a cross-sectional view for explaining a conventional mosaic copper process using a grinding protection layer. Figures 2A to 2C are a series of scraped views' for explaining a mosaic copper manufacturing process according to a preferred embodiment of the present invention. [Symbol description] 10, 20 ~ substrate and semiconductor element; 12, 22 ~ low dielectric constant intermetal dielectric layer; 1 4 ~ protective layer; 2 4 ~ barrier layer; 26 ~ copper metal layer. [Embodiment] This embodiment is applied to the steel-metal interconnection process of the inlaid structure according to the method of the present invention. For the sake of convenience, only a single inlay process is described below as an example, but those skilled in the art can also apply On a dual damascene process. Please refer to FIG. 2A, which shows the initial steps of this embodiment. The part numbered 20 may include several layers of metal interconnects and several electrical interconnections.

第7頁 五、發明說明(5) 的半導體元件,如MOS電晶體、電阻、邏輯元件等,為簡 化圖式起見,金屬間介電層22以下的半導體基底與積體電 路元件僅以標號2 0代表之。 介電層22代表一低介電層常數之介電材,例如hsq (hydrogen si 1 ses-quioxane)、MSQ (methyl si1sesquioxane) ' H-PSSQ(hydrio polysilsesquioxane) 'M-PSSQ (methyl po1ysi1sesquioxane) 'P-PSSQ (phenyl polysilsesquioxane) 、FLARE (Allied Signal 或 Microwave Materials 產製)、SILK (Dow Chemical 產 製)'Xerogel 'Nanoglass、及PAE-2等。上述材料的介電 常數一般在3左右,但範圍可介於卜4之間。此介電層可以 化學氣相沈積(CVD),或是以旋塗(spin coatUg)的方式 沈積在基底上’然後經過固化(curing)形成如圖中所示之 介電膜。 接下來,本發明利用NH3電漿或&電漿或Ar電漿處理程 序’透過離子轟擊將其表面緻密化’而形成具有保護效果 之硬質表面(約300埃),如圖中22a所示。根據本發明,此 電漿處理程序可以一般電漿化學氣相沈積法施行,但較佳 者’係利用高密度電漿化學氣相沈積法(HDP_CVD)施行, 可達到較高的敏密化程度。 如前文中所述’一般在習知製程中為了避免低介電常 數之介電材遭到過度研磨,此時必須在其上沈積—氧化石夕 層作為保護’但卻因此增加了金屬間介電層之寄生電容,Page 7 V. Description of Invention (5) For semiconductor elements, such as MOS transistors, resistors, logic elements, etc., to simplify the drawings, semiconductor substrates and integrated circuit elements below the intermetal dielectric layer 22 are only labeled 2 0 represents it. The dielectric layer 22 represents a dielectric material with a low dielectric constant, such as hsq (hydrogen si 1 ses-quioxane), MSQ (methyl si1sesquioxane) 'H-PSSQ (hydrio polysilsesquioxane)' M-PSSQ (methyl po1ysi1sesquioxane) 'P -PSSQ (phenyl polysilsesquioxane), FLARE (made by Allied Signal or Microwave Materials), SILK (made by Dow Chemical) 'Xerogel' Nanoglass, and PAE-2, etc. The dielectric constant of the above materials is generally around 3, but the range can be between B4. This dielectric layer can be deposited by chemical vapor deposition (CVD), or spin coating (Ug) on the substrate 'and then cured to form a dielectric film as shown in the figure. Next, the present invention uses a NH3 plasma or & plasma or Ar plasma treatment procedure to 'densify its surface by ion bombardment' to form a hard surface (about 300 angstroms) with a protective effect, as shown in Figure 22a. . According to the present invention, the plasma treatment process can be performed by a general plasma chemical vapor deposition method, but the better one is performed by a high-density plasma chemical vapor deposition method (HDP_CVD), which can achieve a higher degree of sensitization. . As mentioned in the foregoing, "Generally, in order to avoid excessive grinding of dielectric materials with low dielectric constant in the conventional manufacturing process, at this time, it must be deposited on it-a layer of oxidized oxide as a protection", but intermetallic interlayers have been increased. Parasitic capacitance of the electrical layer,

五、發明說明(6) 有違當初使用低介電常數材料之本意。相較之下,本發明 的方法藉由上述之電漿處理形成緻密化之表面後,可不需 再沈積額外的保護層’避免寄生電容增加。 請參照第2 Β圖,接下來依照傳統鑲嵌式製程,先利用 微影與钮刻程序在介電層22中定義出金屬内連線的溝槽, 然後進行全面性的沈積’以在内連線溝槽的底部與侧壁形 成一阻障層24。此阻障層可幫助後續金屬的附著並防止其 擴散’對銅而言’適當的擴散阻障層材料包括:组(Ta), 氮化链(TaN),氮化鶴(WN) ’或是習知製程中常用的氣化 鈦(TiN)等。接著,以化學氣相沈積法(CVD)、物理氣相沈 積法(PVD),或電鍍沈積法(Electroplating)在阻障層上 沈積銅金屬層18,並使其填滿前述之内連線溝槽。較佳 者,可利用離子化金屬電漿(IMP)先在基底上沈積—層厚 約300〜1500埃的晶種層,然後再以電鍍法完成銅導電層的 沈積。通常阻障層與晶種層的沈積程序可在多腔反應室 (cluster chamber)的不同腔中依序完成而不破真空,藉 以提高製程的可靠度與產能。 完成阻障層與銅金屬層的沈積後’以化學機械研磨法 進行平坦化,將内連線溝槽以外的銅金屬層與阻障層去 除,即可得到第2C圖所示的結構。研磨的過程包括:銅金 屬的研磨、阻障層的研磨、以及最後一道氧化物拋光 (oxide buffing)的手續’其中各階段係使用不同的研磨 漿液。 為證明本發明的方法確實可行,茲將未經任何處理之5. Description of the invention (6) It is against the original intention of using low dielectric constant materials. In contrast, after the method of the present invention forms a densified surface by the above-mentioned plasma treatment, it is not necessary to deposit an additional protective layer 'to avoid an increase in parasitic capacitance. Please refer to FIG. 2B. Next, according to the traditional damascene process, first use the lithography and engraving procedures to define the trenches for the metal interconnects in the dielectric layer 22, and then perform a comprehensive deposition 'to interconnect A barrier layer 24 is formed at the bottom and the sidewall of the wire trench. This barrier layer can help the subsequent metal adhesion and prevent its diffusion. For copper, the appropriate diffusion barrier material includes: group (Ta), nitrided chain (TaN), nitrided crane (WN) or Titanium gasification (TiN) and other commonly used processes. Next, a copper metal layer 18 is deposited on the barrier layer by a chemical vapor deposition method (CVD), a physical vapor deposition method (PVD), or an electroplating method, and the copper metal layer 18 is filled to fill the barrier ribs. groove. Preferably, an ionized metal plasma (IMP) can be first deposited on the substrate-a seed layer having a thickness of about 300 to 1500 angstroms, and then a copper conductive layer can be deposited by electroplating. Generally, the deposition process of the barrier layer and the seed layer can be completed sequentially in different chambers of the multi-chamber reaction chamber without breaking the vacuum, thereby improving the reliability and productivity of the process. After the deposition of the barrier layer and the copper metal layer is completed, it is planarized by a chemical mechanical polishing method, and the copper metal layer and the barrier layer other than the interconnect trenches are removed to obtain the structure shown in FIG. 2C. The grinding process includes: copper metal grinding, barrier layer grinding, and the last oxide buffing process, where each stage uses a different grinding slurry. To prove that the method of the present invention is indeed feasible,

第9頁Page 9

五、發明說明(7) HSQ與兩種經電漿處理之HSQ的研磨速率列於表一比較: 表一 1 被研磨材料 CuCMP TaN CMP Ox CMP(30 sec) OxCMP(15sec) Rate (A/min) Rate (A/miri) Rate (A/min) Rate (A/min) Cu 2857 49 268 — TaN 103 263 470 — HSQ+ 127 858 5230 4903 HSQMH3* 49 121 4^48 3448 HSQ/N2^ 37 2496 1044 T来經過電蒗處理之HSQ ; '經過NH3電衆處理之HSQ ;V. Description of the invention (7) The grinding rates of HSQ and two plasma-treated HSQs are listed in Table 1: Comparison of Table 1: 1 Materials to be polished CuCMP TaN CMP Ox CMP (30 sec) OxCMP (15sec) Rate (A / min ) Rate (A / miri) Rate (A / min) Rate (A / min) Cu 2857 49 268 — TaN 103 263 470 — HSQ + 127 858 5230 4903 HSQMH3 * 49 121 4 ^ 48 3448 HSQ / N2 ^ 37 2496 1044 T HSQ processed by electricity; 'HSQ processed by NH3 electricity;

**蛵過HDP-CVD N2電衆處理之HSQ 表一中三種HSQ的研磨比如下:** HSQ processed by HDP-CVD N2 electric mass processing

Cu CMP- HSQ : HSQ/NH3 : HSQ/N2 = 3 : 1 : 1 TaN CMP-HSQ : HSQ/NH3 =7 : 1 Ox CMP 30 sec-HSQ : HSQ/NH3 : HSQ/N2 = 5 :4:2 Ox CMP 15 sec-HSQ : HSQ/NH3 : HSQ/N2 = 5 : 3 : 1 由以上可知,以電漿處理形成所緻密化表面,確實可 有效減緩低介電係數材料的研磨速率,特別是以HDP-CVD N2電漿處理之HSQ,其研磨速率已降至原本的1/5,對於低 介電係數材料與鑲嵌式銅製程的整合有莫大的助益6Cu CMP- HSQ: HSQ / NH3: HSQ / N2 = 3: 1: 1: TaN CMP-HSQ: HSQ / NH3 = 7: 1 Ox CMP 30 sec-HSQ: HSQ / NH3: HSQ / N2 = 5: 4: 2 Ox CMP 15 sec-HSQ: HSQ / NH3: HSQ / N2 = 5: 3: 1: 1 As can be seen from the above, the densified surface formed by plasma treatment can indeed effectively slow down the polishing rate of low dielectric constant materials, especially The HSQ of HDP-CVD N2 plasma treatment has reduced the grinding rate to 1/5 of the original, which is of great help to the integration of low dielectric constant materials and inlaid copper process 6

第10頁 np. A ^ ; ^ 五、發明說明(8) 雖然本發明已以一較佳實施例揭露如上,然其並非用 以限定本發明,任何熟習此技藝者,在不脫離本發明之精 神和範圍内,當可作各種之更動與潤飾,因此本發明之保 護範圍當視後附之申請專利範圍所界定者為準。Page 10 np. A ^; ^ V. Description of the invention (8) Although the present invention has been disclosed above with a preferred embodiment, it is not intended to limit the present invention. Any person skilled in the art will not depart from the present invention. Within the spirit and scope, various modifications and retouching can be made. Therefore, the scope of protection of the present invention shall be determined by the scope of the attached patent application.

第11頁Page 11

Claims (1)

年,^月>丨日 «snn.^nQ 、、申請專利範圍 ^1'種降低低介電常數材料之研磨去除速率的方法, 匕括下列步驟: 沈積一低介電常數材料於一半導體基底上而形成一介 電層;以及 人施行—NH3電漿或Nz電漿或Ar電漿處理程序,以増加該 "電層之緻密化程度而降低其研磨去除速率。 2,如申請專利範圍第1項所述之方法,其中該低介電 常數材料係擇自下列所組成之族群:HSQ (hydrogen si1sesquiοχane) ' MSQ (methyl si 1 sesquioxane) x H-PSSQ (hydrio po1ysi1sesquioxane) 'M-PSSQ (methyl polysilsesquioxane) 、P-PSSQ (phenyl polysUsesquioxane) 'FLARE、SILK 'Xerogel、 Nanoglass 、及PAE-2 ° 3.如申請專利範圍第2項所述之方法,其中該低介電 常數材料係以化學氣相沈積法或旋塗方式沈積在基底上。 4,如申請專利範圍第1項所述之方法,其中該低介電 常數材料為HSQ (hydrogen silsesquioxane)。 5·如申請專利範圍第1項所述之方法,其中該電漿處 理程序係以電漿化學氣相沈積法或高密度電漿化學氣相沈 積法施行。 6. 申請專利範圍第1項所述之方法,其中該電漿處理 程序係以高密度電漿化學氣相沈積法之N2電漿施行。 7. —種以低介電常數材料為金屬間介電層之鑲嵌式銅 製程,包括下列步驟:^ Month > 丨 Day «snn. ^ NQ, patent application scope ^ 1 'A method for reducing the grinding removal rate of a low dielectric constant material, including the following steps: Depositing a low dielectric constant material on a semiconductor A dielectric layer is formed on the substrate; and a NH3 plasma or Nz plasma or Ar plasma treatment procedure is performed to increase the density of the " electric layer and reduce its removal rate. 2. The method according to item 1 of the scope of patent application, wherein the low dielectric constant material is selected from the group consisting of: HSQ (hydrogen si1sesquiοχane) 'MSQ (methyl si 1 sesquioxane) x H-PSSQ (hydrio po1ysi1sesquioxane) ) 'M-PSSQ (methyl polysilsesquioxane), P-PSSQ (phenyl polysUsesquioxane)' FLARE, SILK 'Xerogel, Nanoglass, and PAE-2 ° 3. The method described in item 2 of the scope of patent application, wherein the low dielectric Constant materials are deposited on the substrate by chemical vapor deposition or spin coating. 4. The method according to item 1 of the scope of the patent application, wherein the low dielectric constant material is HSQ (hydrogen silsesquioxane). 5. The method according to item 1 of the scope of patent application, wherein the plasma processing procedure is performed by a plasma chemical vapor deposition method or a high-density plasma chemical vapor deposition method. 6. The method described in item 1 of the scope of the patent application, wherein the plasma treatment process is performed using a high density plasma chemical vapor deposition method of N2 plasma. 7. — A mosaic copper process using a low dielectric constant material as the intermetal dielectric layer, including the following steps: 第12頁 __案號 88110309 六'申靖專利範圍Page 12 __ Case No. 88110309 Six 'Shenjing Patent Scope 一半導體基底上而形成—介 沈積一低介電常數材料於 電層 施行-nh3電聚或n2電漿或Ar電聚處理程序,以增加該 介電層之緻密化程度而降低其後續之研磨去除速率; 定義一開口於該介電層中; 沈積一銅金屬層於該介電層上,並填滿上述開口;以 及 以化學機械研磨法去除該開口以外之銅金屬層;其中 上述電漿處理程序降低該介電層之研磨去除速率,而提高 該研磨程序之選擇率《 8. 如申請專利範圍第7項所述之製程,其中該低介電 常數村料係擇自下列所組成之族群:HSq (hydr〇gen si 1 sesquioxane) ' MSQ (methyl si 1 sesquioxane) ' H-PSSQ (hydrio polysiisesquioxane) 'M-PSSQ (methyl polysilsesquioxane) 'P-PSSQ (phenyl polysiisesquioxane) 、FLARE 、SILK 、Xerogel 、 Nanoglass 、及PAE-2 。 9. 如申請專利範圍第8項所述之製程,其中該低介電 常數材料係以化學氣相沈積法或旋塗方式沈積在基底上。 10·如申請專利範圍第7項所述之製程,其中該低介電 常數材料為HSQ (hydrogen silsesquioxane)。 11.如申請專利範圍第7項所述之製程’其中該電漿處 理程序係以電漿化學氣相沈積法或高密度電漿化學氣相沈 積法施行。Formed on a semiconductor substrate—dielectrically depositing a low dielectric constant material on the electrical layer and applying the -nh3 electropolymerization or n2 plasma or Ar electropolymerization process to increase the density of the dielectric layer and reduce its subsequent grinding Removal rate; defining an opening in the dielectric layer; depositing a copper metal layer on the dielectric layer and filling the opening; and removing a copper metal layer outside the opening by a chemical mechanical polishing method; wherein the plasma is The processing procedure reduces the polishing removal rate of the dielectric layer and increases the selection rate of the polishing procedure "8. The process as described in item 7 of the scope of patent application, wherein the low dielectric constant material is selected from the following composition Ethnic groups: HSq (hydr〇gen si 1 sesquioxane) 'MSQ (methyl si 1 sesquioxane)' H-PSSQ (hydrio polysiisesquioxane) 'M-PSSQ (methyl polysilsesquioxane)' P-PSSQ (phenyl polysiisesquioxane), FLARE, SILK, Xerogel, Nanoglass and PAE-2. 9. The process according to item 8 of the scope of patent application, wherein the low dielectric constant material is deposited on the substrate by a chemical vapor deposition method or a spin coating method. 10. The process as described in item 7 of the scope of patent application, wherein the low dielectric constant material is HSQ (hydrogen silsesquioxane). 11. The process according to item 7 of the scope of the patent application, wherein the plasma processing procedure is performed by a plasma chemical vapor deposition method or a high-density plasma chemical vapor deposition method. 第13頁 案號 88110309Page 13 Case No. 88110309 修正 六、申請專利範圍 12.申請專利範圍第γ項所述之製程,其中該電漿處理 程序係以高密度電漿化學氣相沈積法之%電漿施行。 13.如申請專利範圍第7項所述之製程’其中沈積該銅 金屬層之前更包括: 於上述開口的底部與側壁沈積一阻障層。 14.如申請專利範圍第13項所述之製程,其中該阻障 層的材質係擇自下列所組成之群組:氮化鈦(TiN),钽 (Ta),氮化鈕(TaN),以及氮化鎢(WN)。Amendment 6. Scope of patent application 12. The process described in item γ of the scope of patent application, wherein the plasma treatment process is performed by high-density plasma chemical vapor deposition (% V) plasma. 13. The process according to item 7 of the scope of patent application, wherein before depositing the copper metal layer, further comprising: depositing a barrier layer on the bottom and sidewalls of the opening. 14. The process according to item 13 of the scope of patent application, wherein the material of the barrier layer is selected from the group consisting of: titanium nitride (TiN), tantalum (Ta), nitride button (TaN), And tungsten nitride (WN).
TW88110309A 1999-06-21 1999-06-21 Method for decreasing the removing rate of polishing for low dielectric constant material TW420844B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW88110309A TW420844B (en) 1999-06-21 1999-06-21 Method for decreasing the removing rate of polishing for low dielectric constant material

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW88110309A TW420844B (en) 1999-06-21 1999-06-21 Method for decreasing the removing rate of polishing for low dielectric constant material

Publications (1)

Publication Number Publication Date
TW420844B true TW420844B (en) 2001-02-01

Family

ID=21641204

Family Applications (1)

Application Number Title Priority Date Filing Date
TW88110309A TW420844B (en) 1999-06-21 1999-06-21 Method for decreasing the removing rate of polishing for low dielectric constant material

Country Status (1)

Country Link
TW (1) TW420844B (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6727173B2 (en) 1998-09-03 2004-04-27 Micron Technology, Inc. Semiconductor processing methods of forming an utilizing antireflective material layers, and methods of forming transistor gate stacks
US6828683B2 (en) 1998-12-23 2004-12-07 Micron Technology, Inc. Semiconductor devices, and semiconductor processing methods

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6727173B2 (en) 1998-09-03 2004-04-27 Micron Technology, Inc. Semiconductor processing methods of forming an utilizing antireflective material layers, and methods of forming transistor gate stacks
US6828683B2 (en) 1998-12-23 2004-12-07 Micron Technology, Inc. Semiconductor devices, and semiconductor processing methods

Similar Documents

Publication Publication Date Title
US6723635B1 (en) Protection low-k ILD during damascene processing with thin liner
US8975749B2 (en) Method of making a semiconductor device including barrier layers for copper interconnect
US9112004B2 (en) Barrier layer for copper interconnect
US6831366B2 (en) Interconnects containing first and second porous low-k dielectrics separated by a porous buried etch stop layer
US8178437B2 (en) Barrier material and process for Cu interconnect
US7358612B2 (en) Plasma treatment at film layer to reduce sheet resistance and to improve via contact resistance
US8119519B2 (en) Semiconductor device manufacturing method
US7199045B2 (en) Metal-filled openings for submicron devices and methods of manufacture thereof
US6372632B1 (en) Method to eliminate dishing of copper interconnects by the use of a sacrificial oxide layer
CN105336680B (en) Semiconductor device, manufacturing method thereof and electronic device
JP2003179135A (en) Method for manufacturing copper interconnect having interlayer insulator of very low permittivity
US6680249B2 (en) Si-rich surface layer capped diffusion barriers
US20060264030A1 (en) Wire structure and forming method of the same
JP2004214566A (en) Method for manufacturing semiconductor device and semiconductor device
US20060118955A1 (en) Robust copper interconnection structure and fabrication method thereof
TW420844B (en) Method for decreasing the removing rate of polishing for low dielectric constant material
JP2002164351A (en) Method of forming self-aligned copper cap diffusion barrier
JP2004153274A (en) Damascene interconnection utilizing barrier metal layer deposited with metal carbonyl
US20140141611A1 (en) Surface Treatment in the Formation of Interconnect Structure
US20050082606A1 (en) Low K dielectric integrated circuit interconnect structure
TWI326902B (en) Improvement of sioc properties and its uniformity in bulk for damascene applications
TW400393B (en) The metalization process of utilizing the sacrifice layer to avoid the damage of the etch stopping layer
TW559990B (en) Forming method of protection layer made by low-k material, copper damascene process using low-k material as inter-metal dielectrics, and interconnect trench structure
KR100701675B1 (en) Method for forming copper line in semiconductor device
TW563186B (en) Surface treatment method of low dielectric constant material

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MK4A Expiration of patent term of an invention patent