KR20010074948A - Semiconductor processing methods of forming and utilizing antireflective material layers, and methods of forming transistor gate stacks - Google Patents

Semiconductor processing methods of forming and utilizing antireflective material layers, and methods of forming transistor gate stacks Download PDF

Info

Publication number
KR20010074948A
KR20010074948A KR1020017002809A KR20017002809A KR20010074948A KR 20010074948 A KR20010074948 A KR 20010074948A KR 1020017002809 A KR1020017002809 A KR 1020017002809A KR 20017002809 A KR20017002809 A KR 20017002809A KR 20010074948 A KR20010074948 A KR 20010074948A
Authority
KR
South Korea
Prior art keywords
layer
metal silicide
reactor
antireflective material
depositing
Prior art date
Application number
KR1020017002809A
Other languages
Korean (ko)
Other versions
KR100423560B1 (en
Inventor
거테이에스. 산듀
수지트 샤란
Original Assignee
추후제출
미크론 테크놀로지,인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 추후제출, 미크론 테크놀로지,인코포레이티드 filed Critical 추후제출
Publication of KR20010074948A publication Critical patent/KR20010074948A/en
Application granted granted Critical
Publication of KR100423560B1 publication Critical patent/KR100423560B1/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0277Electrolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • H01L21/28044Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer
    • H01L21/28052Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer the conductor comprising a silicide layer formed by the silicidation reaction of silicon with a metal layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3145Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers formed by deposition from a gas or vapour

Abstract

한 태양에서, 기판 위에 실리콘, 질소, 산소를 증착할 때 기체형태의 실리콘, 질소, 산소를 고밀도 플라즈마에 노출시키는 단계를 포함하는 반도체 공정법이 공개된다. 또하나의 태양에서 발명은, a) 기판(52) 위에 폴리실리콘층(56)을 형성하고, b) 폴리실리콘층(56) 위에 금속 실리사이드층(58)을 형성하며, c) 고밀도 플라즈마를 이용하여 금속 실리사이드층(58) 위에 반사방지 물질층(60)을 증착하고, d) 반사방지 물질층(60) 위에 포토레지스트층(62)을 형성하며, e) 포토레지스트층(62)으로부터 패턴처리된 마스크층을 형성하기 위해, 포토레지스트층(62)을 포토리소그래피 방식으로 패턴처리하고, 그리고 f) 반사방지 물질층, 금속 실리사이드층, 폴리실리콘층을 게이트 적층구조로 패턴처리하기 위해, 패턴처리된 마스크층(62)으로부터 반사방지 물질층(60), 금속 실리사이드층(58), 폴리실리콘층(56)까지 패턴을 전이하는, 이상의 단계를 포함하는 게이트 적층구조 형성 방법을 포함한다.In one aspect, a semiconductor processing method is disclosed that includes exposing a gaseous form of silicon, nitrogen, oxygen to a high density plasma when depositing silicon, nitrogen, oxygen on a substrate. In another aspect, the invention provides a process for a) forming a polysilicon layer 56 on a substrate 52, b) forming a metal silicide layer 58 on a polysilicon layer 56, and c) using a high density plasma. Thereby depositing an antireflective material layer 60 on the metal silicide layer 58, d) forming a photoresist layer 62 on the antireflective material layer 60, and e) patterning the photoresist layer 62. Pattern the photoresist layer 62 in a photolithographic manner to form a mask layer, and f) patterning the patterned antireflective material layer, metal silicide layer, and polysilicon layer into a gate stacked structure. And a method of forming a gate stacked structure including the above steps of transferring a pattern from the mask layer 62 to the antireflective material layer 60, the metal silicide layer 58, and the polysilicon layer 56.

Description

반도체 공정에서의 반사방지 물질층 형성 및 이용법과 트랜지스터 게이트 적층구조 형성법{SEMICONDUCTOR PROCESSING METHODS OF FORMING AND UTILIZING ANTIREFLECTIVE MATERIAL LAYERS, AND METHODS OF FORMING TRANSISTOR GATE STACKS}Method for forming and using anti-reflective material layer in semiconductor process and forming transistor gate stack structure

반도체 공정은 기판 위에 포토레지스트층을 제공하는 과정을 자주 포함한다. 포토레지스트층 부분은 마스크 처리된 광원을 통해 광에 노출된다. 마스크는 포토레지스트층에 생성될 패턴을 형성하는 투명 및 불투명 영역을 포함한다. 광에 노출되는 포토레지스트층 영역은 용매에 가용성이거나 불용성이도록 만들어진다. 노출된 영역이 가용성일 경우, 마스크의 양의 상이 포토레지스트에 생성된다. 따라서 포토레지스트는 양성 프로토레지스트로 불린다. 이에 반해, 비방사 영역이 용매에 의해 반응하지 않을 경우, 음의 상이 생긴다. 따라서, 이때 포토레지스트는 음성 포토레지스트로 불린다.Semiconductor processes often involve providing a photoresist layer on a substrate. The photoresist layer portion is exposed to light through a masked light source. The mask includes transparent and opaque regions that form a pattern to be created in the photoresist layer. The photoresist layer area exposed to light is made to be soluble or insoluble in the solvent. If the exposed area is soluble, a positive phase of the mask is created in the photoresist. The photoresist is therefore called a positive protoresist. In contrast, if the non-radiative region does not react with the solvent, a negative phase is produced. Thus, the photoresist at this time is called negative photoresist.

포토레지스트를 광에 노출시킬 때 발생할 수 있는 어려움은 방사파가 포토레지스트 아래의 층으로 포토레지스트를 통해 전파할 수 있고, 그후, 포토레지스트를통해 다시 반사되어 포토레지스트를 통과하는 다른 파동과 상호작용할 수 있다는 점이다. 반사된 파동은 포토레지스트를 통과하는 다른 파동과 보강 간섭이나 상쇄 간섭을 일으킬 수 있어서 포토레지스트 내의 광강도의 주기적 변화를 생성할 수 있다. 이러한 광강도의 변화로 인해 포토레지스트가 그 두께 전반에서 에너지의 균일하지 않은 분포를 수용하게 될 수 있다. 비균일한 분포는 마스크 처리 패턴이 포토레지스트로 옮겨질 때 정확성을 감소시킬 수 있다. 따라서, 포토레지스트층 하부의 층에 의해 반사되는 것을 방사파가 억제할 수 있는 방법을 개발하는 것이 요구된다.Difficulties that may arise when exposing the photoresist to light may cause radiation waves to propagate through the photoresist to a layer below the photoresist, which then reflects back through the photoresist and interacts with other waves passing through the photoresist. Can be. Reflected waves can cause constructive or destructive interference with other waves passing through the photoresist, producing periodic changes in light intensity within the photoresist. This change in light intensity can cause the photoresist to accept a non-uniform distribution of energy throughout its thickness. The non-uniform distribution can reduce the accuracy when the masked pattern is transferred to the photoresist. Therefore, it is desired to develop a method in which radiation waves can suppress reflection by the layer under the photoresist layer.

반사파를 억제하기 위해 사용된 방법은 포토레지스트층 아래 반사방지 물질을 형성하는 것이다. 반사방지 물질은 방사를 흡수하는 물질이어서, 방사의 반사를 억누를 수 있다. 반사방지 물질은 여러 파장의 파동을 효과를 달리하면서 흡수한다. 반사방지 물질로 사용하기에 적합한 물질의 수는 제한된다. 따라서, 흡수되는 파장을 변화시키는 대안의 방법, 그리고 반사 방지 물질에 대해 파동이 흡수될 때의 효과를 달리하는 대안의 방법을 개발하는 것이 바람직하다.The method used to suppress reflected waves is to form an antireflective material under the photoresist layer. The antireflective material is a material that absorbs radiation, thereby suppressing the reflection of the radiation. Antireflective materials absorb waves of varying wavelengths with varying effects. The number of materials suitable for use as antireflective materials is limited. Thus, it would be desirable to develop alternative methods for changing the wavelengths absorbed, and alternative methods for varying the effect of the wave absorption on the antireflective material.

특별한 종류의 반사방지 코팅 물질로는 증착형 반사방지 코팅(DARC)이 있다. DARC의 예로는 SixOyNz가 있고, 이때 x는 40-60%, y는 29-45%, 그리고 z는 10-16%이다. DARC는 예를 들어 Si50O37N13일 수 있다. SiH4와 N2O를 프리커서로 사용하여 4-6.5 Torr의 압력에서 섭씨 400도로 기판에 화학 증기 증착법을 실행함으로서 DARC가 형성될 수 있다. DARC 물질은 증착 중 반응 챔버 내에 플라즈마 존재 여부에 상관없이 증착될 수 있다. DARC 필름을 사용하는 통상적인 목적은 포토레지스트 상부층에 도달하는 반사파를 DARC 필름에서 입사파의 10% 이하로 감소시키는 것이다.A special kind of antireflective coating material is a deposition antireflective coating (DARC). Examples of DARC are Si x O y N z, where x is 40-60%, y is 29-45%, and z is 10-16%. DARC can be for example Si 50 O 37 N 13 . DARC can be formed by performing chemical vapor deposition on a substrate at 400 degrees Celsius at a pressure of 4-6.5 Torr using SiH 4 and N 2 O as a precursor. DARC materials may be deposited with or without plasma present in the reaction chamber during deposition. A common purpose of using DARC films is to reduce the reflected waves reaching the photoresist top layer to less than 10% of the incident waves in the DARC film.

DARC 물질을 이용한 공지 공정은 도 1에서 반도체 웨이퍼(10)를 들어 설명된다. 웨이퍼(10)는 기판(12)을 포함한다. 기판(12)은 배경 p형 도펀트로 약하게 도핑된 단결정 실리콘을 한 예로 포함할 수 있다. 첨부된 청구범위의 해석을 돕기 위해, "반도체 기판"이라는 용어는 반도체 웨이퍼와 같은 벌크 반도체 물질을 포함하는(그러나 이에 한정되지는 않는) 반도체 물질과, 반도체 물질층을 포함하는 모든 구조를 의미한다. "기판"이라는 용어는 앞서 언급한 반도체 기판을 포함하는(그러나 이에 한정되지는 않는) 모든 지지 구조를 의미한다.Known processes using DARC materials are described with the semiconductor wafer 10 in FIG. Wafer 10 includes substrate 12. The substrate 12 may include, for example, single crystal silicon slightly doped with a background p-type dopant. To aid the interpretation of the appended claims, the term "semiconductor substrate" refers to any structure including a semiconductor material, including but not limited to a bulk semiconductor material, such as a semiconductor wafer, and a layer of semiconductor material. . The term "substrate" means all supporting structures, including but not limited to the aforementioned semiconductor substrates.

게이트 유전층(14), 폴리실리콘층(16), 실리사이드층(18)이 기판(12) 위에 형성된다. 게이트 유전층(14)은 예를 들어 이산화규소를 포함할 수 있다. 폴리실리콘층(16)은 가령, 전도가능하게 도핑된 폴리실리콘을 포함할 수 있다. 실리사이드층(18)은 가령, 텅스텐 실리사이드나 티타늄 실리사이드를 포함할 수 있다. 층(14, 16, 18)은 궁극적으로는 트랜지스터 게이트 구조로 패턴 처리될 것이다.Gate dielectric layer 14, polysilicon layer 16, and silicide layer 18 are formed over substrate 12. Gate dielectric layer 14 may comprise silicon dioxide, for example. Polysilicon layer 16 may include, for example, polysilicon that is conductively doped. The silicide layer 18 may include, for example, tungsten silicide or titanium silicide. Layers 14, 16 and 18 will ultimately be patterned into transistor gate structures.

반사방지 코팅층(20)이 실리사이드층(18) 위에 제공되고, 포토레지스트층(22)이 반사방지 코팅층(20) 위에 제공된다. 반사방지 코팅층(20)은 SixOyNz와 같은 무기질층을 포함할 수 있다. 실제로, 층(20)은 본질적으로 무기질일 수 있고, "본질적으로 무기질"이라는 말은 층(20)이 소량의 탄소(1% 이하)를 포함할 수 있다는 것을 의미한다.An antireflective coating layer 20 is provided over the silicide layer 18, and a photoresist layer 22 is provided over the antireflective coating layer 20. The antireflective coating layer 20 may include an inorganic layer, such as Si x O y N z . Indeed, layer 20 may be inorganic in nature, and the term “essentially inorganic” means that layer 20 may contain a small amount of carbon (1% or less).

실리사이드층(18)은 트랜지스터 게이트에 층을 이용하기 전에 실리사이드층의 전도도와 결정 구조를 개선시키기 위해 어닐링 처리된다. 한 예로 실리사이드층(18)의 어닐링 처리는 대기압(1기압)에서 섭씨 850도로 30분간 실시된다.The silicide layer 18 is annealed to improve the conductivity and crystal structure of the silicide layer before using the layer in the transistor gate. As an example, annealing of the silicide layer 18 is performed at atmospheric pressure (1 atmosphere) for 30 minutes at 850 degrees Celsius.

어닐링 처리중 기체 산소로부터 층(18)을 보호하기 위해 어닐링 처리 전에 실리사이드층(18) 위에 DARC 물질(20)이 제공된다. 기체 산소가 어닐링 중에 층(18)과 반응하면, 산소는 층(18)의 일부를 산화시켜서 층(18)의 전도도에 악영향을 끼칠 수 있다. 불행하게도, 어닐링 조건은 DARC 물질(20)의 광학적 성질에 해로운 영향을 미칠 수 있다. 특히, DARC 물질(20)은 굴절률(n)과 소광 계수(에너지 흡수 계수)(k)로 표현할 수 있는 광학적 성질을 가진다. 실리사이드층(18)의 전도도를 개선시키는 어닐링 조건은 층(20)의 "n"과 "k"중 한 개나 두 개 모두를 변화시킬 수 있다. 방사파가 포토레지스트층(22)에 도달하기 전에 반사파를 소광시키도록 적절한 매개변수로 물질의 "n"과 "k"를 조절하도록 물질층(20)의 화학구조가 조심스럽게 선택된다. "n"과 "k"에 대한 어닐링 조건의 효과는 이러한 매개변수를 최적 조절 범위에서 밀어낼 수 있다. 따라서, 물질의 "n"과 "k"가 어닐링 조건에 의해 유도되는 변화에 둔감한 DARC 물질을 형성하는 방법을 개발하는 것이 요구된다.DARC material 20 is provided over the silicide layer 18 prior to the annealing treatment to protect the layer 18 from gaseous oxygen during the annealing treatment. If gaseous oxygen reacts with layer 18 during annealing, oxygen may oxidize a portion of layer 18, adversely affecting the conductivity of layer 18. Unfortunately, the annealing conditions can adversely affect the optical properties of the DARC material 20. In particular, the DARC material 20 has an optical property that can be expressed by the refractive index n and the extinction coefficient (energy absorption coefficient) k. Annealing conditions that improve the conductivity of the silicide layer 18 may change one or both of the “n” and “k” of the layer 20. The chemical structure of the material layer 20 is carefully selected to adjust the "n" and "k" of the material with appropriate parameters to quench the reflected wave before the radiation wave reaches the photoresist layer 22. The effect of the annealing conditions on "n" and "k" can push these parameters out of the optimum adjustment range. Thus, there is a need to develop a method of forming DARC materials that are insensitive to changes caused by annealing conditions in the "n" and "k" of the material.

본 발명은 반사방지 물질층 형성 및 이용법과 트랜지스터 게이트 적층구조 형성법에 관한 것이다.The present invention relates to methods of forming and utilizing antireflective material layers and methods of forming transistor gate stacks.

도 1은 기존 반도체 웨이퍼의 단면도.1 is a cross-sectional view of an existing semiconductor wafer.

도 2는 본 발명의 방법의 예비 단계에서 반도체 웨이퍼의 단면도.2 is a cross-sectional view of a semiconductor wafer in a preliminary step of the method of the present invention.

도 3은 도 2의 단계의 다음 단계의 웨이퍼 단면도.3 is a cross sectional view of the wafer following the steps of FIG. 2;

도 4는 도 3의 단계의 다음 단계의 웨이퍼 단면도.4 is a cross-sectional view of the wafer following the steps of FIG. 3;

도 5는 도 4의 단계의 다음 단계의 웨이퍼 단면도.5 is a cross-sectional view of the wafer following the steps of FIG. 4;

도 6은 본 발명의 방법에 사용될 수 있는 반응 챔버의 단면도.6 is a cross-sectional view of a reaction chamber that may be used in the method of the present invention.

(도면의 부호설명)(Description of symbols in the drawings)

10, 50, 110 ... 반도체 웨이퍼 12, 52 ... 기판10, 50, 110 ... semiconductor wafer 12, 52 ... substrate

14, 54 ... 게이트 유전층 16, 56 ... 폴리실리콘층14, 54 ... gate dielectric layer 16, 56 ... polysilicon layer

18, 58 ... 실리사이드층 20, 60 ... 반사방지 코팅층18, 58 ... silicide layer 20, 60 ... antireflective coating layer

22 ... 포토레지스트층 70 ... 게이트 적층구조22 ... photoresist layer 70 ... gate stacked structure

100 ... 반응기 102 ... 코일100 ... reactor 102 ... coil

104 ... 전원 106 ... 챔버104 ... Power 106 ... Chamber

108 ... 웨이퍼 홀더(척) 112 ... 전원108 ... wafer holder (chuck) 112 ... power supply

한 태양에서, 기판 위에 실리콘, 질소, 산소를 포함하는 고체층을 증착할 때 기체 형태의 실리콘, 질소, 그리고 산소가 고밀도 플라즈마에 노출되는 반도체 공정 방법을 본 발명이 포함한다.In one aspect, the invention includes a semiconductor processing method in which silicon, nitrogen, and oxygen in gaseous form are exposed to a high density plasma when depositing a solid layer comprising silicon, nitrogen, and oxygen on a substrate.

또다른 태양에서, 발명은 포토리소그래피 공정의 반도체 공정 방법을 포함한다. 금속 실리사이드층이 기판 위에 형성된다. 고밀도 플라즈마를 이용하여 반사방지 물질층이 금속 실리사이드층 위에 증착된다.In another aspect, the invention includes a semiconductor processing method of a photolithography process. A metal silicide layer is formed over the substrate. An antireflective material layer is deposited over the metal silicide layer using a high density plasma.

또하나의 태양에서, 발명은 트랜지스터 게이트 적층구조 형성 방법을 포함한다. 폴리실리콘층이 기판 위에 형성된다. 금속 실리사이드층이 폴리실리콘층 위에 형성된다. 고밀도 플라즈마를 이용하여 반사방지 물질층이 금속 실리사이드층 위에 증착된다. 반사방지 물질층 위에 포토레지스트층이 형성된다. 포토레지스트층은 포토리소그래피 방식으로 패턴 처리되어, 포토레지스트층으로부터 패턴처리된 마스크층을 형성한다. 패턴처리된 마스크층으로부터 반사방지 물질층, 금속 실리사이드층, 그리고 폴리실리콘층으로 패턴이 전이되어, 반사방지 물질층, 금속 실리사이드층, 폴리실리콘층을 트랜지스터 게이트 적층구조로 패턴처리한다.In another aspect, the invention includes a method of forming a transistor gate stack. A polysilicon layer is formed over the substrate. A metal silicide layer is formed over the polysilicon layer. An antireflective material layer is deposited over the metal silicide layer using a high density plasma. A photoresist layer is formed over the antireflective material layer. The photoresist layer is patterned by photolithography to form a patterned mask layer from the photoresist layer. The pattern is transferred from the patterned mask layer to the antireflective material layer, the metal silicide layer, and the polysilicon layer to pattern the antireflective material layer, the metal silicide layer, and the polysilicon layer in a transistor gate stack structure.

도 2는 발명의 방법의 예비 단계에서 반도체 웨이퍼(50)의 단면도이다. 웨이퍼(50)는 기판(52), 게이트 유전층(54), 폴리실리콘층(56), 실리사이드층(58)을 포함한다. 기판(52), 게이트 유전층(54), 폴리실리콘층(56), 그리고 실리사이드층(58)은 기판(12), 게이트 유전층(14), 폴리실리콘층(16), 그리고 실리사이드층(18)에 대해 도 1의 공지 구조에서 사용된 물질과 동일한 물질을 포함할 수 있다.2 is a cross sectional view of a semiconductor wafer 50 in a preliminary step of the method of the invention. Wafer 50 includes a substrate 52, a gate dielectric layer 54, a polysilicon layer 56, and a silicide layer 58. The substrate 52, the gate dielectric layer 54, the polysilicon layer 56, and the silicide layer 58 may be formed on the substrate 12, the gate dielectric layer 14, the polysilicon layer 16, and the silicide layer 18. The same material as used in the known structure of FIG. 1 may be included.

실리사이드층(58) 위에 DARC 물질층(60)이 형성된다. 기존 방법에 반해, DARC 물질(60)이 고밀도 플라즈마 증착을 이용하여 형성된다. 이러한 증착은 반응 챔버 내에서 발생할 수 있다. 첨부된 청구범위의 해석을 돕기 위하여, "고밀도 플라즈마"는 1010이온/cm3이상의 밀도를 가지는 플라즈마로 정의된다. 층(60)이 증착되는 웨이퍼(50) 부분은 증착 중에 섭씨 300-800 도로 유지되는 것이 선호되며, 섭씨 600도가 가장 선호된다. 웨이퍼 냉각을 위해 증착 중에 웨이퍼 후면에 헬륨을쏘임으로서 웨이퍼(50) 온도가 제어될 수 있다. 온도가 높을수록 조밀한 층(60)이 형성될 수 있다. 이러한 조밀한 층(60)은 덜 조밀한 층(60)에 비해 어닐링 조건에서 보다 안정할 수 있다. 층(60)을 증착할 때 반응 챔버 내의 선호되는 압력 범위는 1-100 mTorr이다. 고밀도 플라즈마 증착에 사용되는 공급 기체로는 SiH4, N2, O2, 그리고 아르곤이 있다.A DARC material layer 60 is formed over the silicide layer 58. In contrast to existing methods, DARC material 60 is formed using high density plasma deposition. Such deposition can take place in the reaction chamber. To aid the interpretation of the appended claims, “high density plasma” is defined as a plasma having a density of at least 10 10 ions / cm 3 . The portion of wafer 50 where layer 60 is deposited is preferably maintained at 300-800 degrees Celsius during deposition, with 600 degrees Celsius being most preferred. The wafer 50 temperature can be controlled by shooting helium at the wafer backside during deposition for wafer cooling. The higher the temperature, the denser the layer 60 can be formed. This dense layer 60 may be more stable at annealing conditions than the less dense layer 60. The preferred pressure range in the reaction chamber when depositing layer 60 is 1-100 mTorr. Feed gases used for high density plasma deposition include SiH 4 , N 2 , O 2 , and argon.

본 발명의 방법에 사용될 수 있는 반응 챔버가 반응기(100)의 일부로 도 6에 도시된다. 반응기(100)는 전원(104)에 연결된 코일(102)을 포함한다. 코일(102)은 반응 챔버(106)를 둘러싸며, 챔버(106) 내에 플라즈마를 생성하는 구조를 가진다. 웨이퍼 홀더(척)(108)는 전원(112)에 전기적으로 연결된다. 전원(104, 112)은 분리된 전원일 수도 있고, 단일 전원으로부터 발생하는 분리된 공급원일 수도 있다. 전원(104)으로부터의 전력은 한 예로 2000 와트일 수 있고, 13.6 MHz의 주파수를 포함할 수 있다. 전원(112)으로부터 웨이퍼(110)에 가해지는 전력은 200 와트 이하로 바이어스되는 것이 선호되며, 100 와트 바이어스가 가장 선호된다. 실제로, 바이어스 전력은 웨이퍼(110) 자체에서보다는 웨이퍼(110) 홀더인 척(108)에서 측정되는 것이 일반적이다.A reaction chamber that can be used in the method of the present invention is shown in FIG. 6 as part of the reactor 100. Reactor 100 includes a coil 102 connected to a power source 104. The coil 102 surrounds the reaction chamber 106 and has a structure for generating a plasma in the chamber 106. Wafer holder (chuck) 108 is electrically connected to a power source 112. The power supplies 104 and 112 may be separate power supplies or separate sources that originate from a single power supply. The power from power source 104 may be 2000 watts as an example and may include a frequency of 13.6 MHz. The power applied from the power supply 112 to the wafer 110 is preferably biased to 200 watts or less, with a 100 watt bias being most preferred. In practice, the bias power is generally measured at the chuck 108, which is a holder of the wafer 110, rather than at the wafer 110 itself.

공급 기체가 반응 챔버(106)로 흐르는 예는 SiH4100 sccm, N2150 sccm, O2150 sccm, 그리고 아르곤 200 sccm이다. 본 발명의 고압 플라즈마 공정에서 반도체 웨이퍼에 층(60)(도 2)을 증착하는 동안, 증착 및 에칭 공정이 동시에 일어나, 증착-에칭 비를 나타낼 것이다. 증착 속도는 에칭 속도보다 빨라서, 알짜 효과는 물질이 웨이퍼에 증착되는 것이다. 증착 속도는 증착 중에 기판에 바이어스를 제공하지 않음으로서 연산될 수 있고, 에칭 속도는 어떤 증착 프리커서도 반응기(106) 내로 공급되지 않을 때 에칭 속도를 결정함으로서 계산될 수 있다. 기판(110)에 대한 바이어스 전력을 조절함으로서 증착-에칭비의 수정은 증착된 층(60)의 "n"과 "k" 갓에 영향을 미치도록 사용될 수 있다.Examples of a feed gas flowing into the reaction chamber 106 are SiH 4 100 sccm, N 2 150 sccm, O 2 150 sccm, and 200 sccm of argon. During the deposition of layer 60 (FIG. 2) on a semiconductor wafer in the high pressure plasma process of the present invention, the deposition and etching processes will occur simultaneously, indicating a deposition-etch ratio. The deposition rate is faster than the etching rate, so the net effect is that the material is deposited on the wafer. The deposition rate can be calculated by not providing a bias to the substrate during deposition, and the etch rate can be calculated by determining the etch rate when no deposition precursor is fed into the reactor 106. Modification of the deposition-etching ratio by adjusting the bias power for the substrate 110 may be used to affect the "n" and "k" shades of the deposited layer 60.

도 2에서, 실리사이드층(58)은 DARC 물질(60)의 증착 후 어닐링 처리된다. 본 발명의 고압 플라즈마 증착은 실리사이드층(58)의 어닐링 처리중 물질(60)의 광학적 성질(가령 "n"과 "k" 값)의 가변성을 감소시킬 수 있다. 예를 들어, 본 명세서의 "배경기술" 단락에서 논의된 조건을 이용한 어닐링 처리에 의해 유도되는 "n"과 "k" 값의 변화는 10% 이하로 제한될 수 있다.In FIG. 2, silicide layer 58 is annealed after deposition of DARC material 60. The high pressure plasma deposition of the present invention can reduce the variability of the optical properties of the material 60 (eg, "n" and "k" values) during annealing the silicide layer 58. For example, the change in the "n" and "k" values induced by the annealing treatment using the conditions discussed in the "Background" paragraphs herein may be limited to 10% or less.

도 3에서, DARC 물질(60) 위에 포토레지스트층(62)이 형성된다. 층(62) 부분을 제거하고 도 4에 도시되는 구조를 형성하기 위해 마스크 처리된 광원과 용매에 노출시킴으로서 포토레지스트층(62)이 패턴처리된다.In FIG. 3, a photoresist layer 62 is formed over the DARC material 60. The photoresist layer 62 is patterned by removing part of the layer 62 and exposing it to a masked light source and solvent to form the structure shown in FIG.

층(62)으로부터 하부층(54, 56, 58, 60)으로 패턴이 전이되어, 도 5에 도시되는 게이트 적층구조(70)를 형성한다. 게이트 적층구조가 층(60, 58, 56)을 포함하고 층(54)이 패턴처리되지 않는 실시예도 발명에 또한 포함된다. 포토레지스트층(62)으로부터 하부층(54, 56, 58, 60)으로 패턴을 전이하는 방법은 플라즈마 에칭이다. 게이트 적층구조(60)가 형성된 후, 포토레지스트층(62)이 제거될 수 있다. 또한, 게이트 적층구조(70) 주변에 소스 및 드레인 영역이 주입될 수 있고, 게이트 적층구조로부터 트랜지스터 게이트 구조를 형성하기 위해 게이트 적층구조(70)의 측벽을 따라 측벽 스페이서가 형성될 수 있다.The pattern is transferred from the layer 62 to the lower layers 54, 56, 58, and 60 to form the gate stacked structure 70 shown in FIG. Also included in the invention are embodiments in which the gate stack includes layers 60, 58, 56 and layer 54 is not patterned. The method of transferring the pattern from the photoresist layer 62 to the underlying layers 54, 56, 58, 60 is plasma etching. After the gate stack 60 is formed, the photoresist layer 62 may be removed. In addition, source and drain regions may be implanted around the gate stack 70, and sidewall spacers may be formed along sidewalls of the gate stack 70 to form a transistor gate structure from the gate stack.

Claims (28)

반도체 공정 방법으로서, 상기 방법은:As a semiconductor processing method, the method comprises: - 기판 위에 실리콘, 질소, 산소를 포함하는 층을 증착시키고, 그리고 상기 층의 증착 중에 고밀도 플라즈마에 실리콘, 질소, 산소를 노출시키며, 이때 증착되는 층은 "n"과 "k"값의 특성을 가지며,Depositing a layer comprising silicon, nitrogen and oxygen on the substrate, and exposing silicon, nitrogen and oxygen to a high density plasma during the deposition of the layer, wherein the deposited layer has characteristics of "n" and "k" values. Has, - 섭씨 850 도 이상의 어닐링 조건에서 증착된 층을 노출시키는, 이상의 단계를 포함하고,-Exposing the deposited layer at annealing conditions of at least 850 degrees Celsius, 이때 "n"과 "k" 값은 어닐링 조건에 대해 노출 중 10% 이하로 변화하는 것을 특징으로 하는 방법.Wherein "n" and "k" values vary by less than 10% of exposure to annealing conditions. 제 1 항에 있어서, 상기 어닐링 조건은 1기압 이상의 압력을 추가로 포함하는 것을 특징으로 하는 방법.The method of claim 1 wherein the annealing conditions further comprise a pressure of at least 1 atmosphere. 제 1 항에 있어서, 어닐링 조건은 1기압 이상에서 30분 이상의 노출 시간을 추가로 포함하는 것을 특징으로 하는 방법.The method of claim 1, wherein the annealing conditions further comprise an exposure time of at least 30 minutes at 1 atmosphere or more. 반도체 공정 방법으로서, 상기 방법은 기판 위에 실리콘, 질소, 산소를 포함하는 고체층을 증착할 때 기체 형태의 실리콘, 질소, 그리고 산소를 고밀도 플라즈마에 노출시키는 단계를 포함하는 것을 특징으로 하는 방법.A method for semiconductor processing, the method comprising exposing silicon, nitrogen, and oxygen in gaseous form to a high density plasma when depositing a solid layer comprising silicon, nitrogen, and oxygen on a substrate. 제 4 항에 있어서, 상기 방법은:The method of claim 4 wherein the method is: - 실리콘, 질소, 그리고 산소를 포함하는 고체층을 증착하기 전에 기판 위에 금속 실리사이드층을 형성하고, 그리고Forming a metal silicide layer on the substrate prior to depositing a solid layer comprising silicon, nitrogen, and oxygen, and - 금속 실리사이드를 어닐링처리하는 조건에 실리콘, 질소, 산소 포함 고체층과 금속 실리사이드층을 노출시키는, 이상의 단계를 포함하는 것을 특징으로 하는 방법.Exposing the silicon, nitrogen, oxygen-containing solid layer and the metal silicide layer to conditions for annealing the metal silicide. 제 5 항에 있어서, 실리콘, 질소, 그리고 산소 포함 고체층은 금속 실리사이드층과 직접 접촉하는 것을 특징으로 하는 방법.6. The method of claim 5 wherein the solid layer comprising silicon, nitrogen, and oxygen is in direct contact with the metal silicide layer. 반도체 공정 방법으로서, 상기 방법은:As a semiconductor processing method, the method comprises: - 기판 위에 금속 실리사이드층을 형성하고,Forming a metal silicide layer on the substrate, - 금속 실리사이드층 위에 반사방지 물질층을 증착하며,Depositing an antireflective material layer on the metal silicide layer, - 증착 중에 고밀도 플라즈마에 반사방지 물질층을 노출시키고,Exposing the antireflective material layer to a high density plasma during deposition, - 반사방지 물질층 위에 포토레지스트층을 형성하고, 그리고Forming a photoresist layer over the antireflective material layer, and - 포토레지스트층을 포토리소그래피 방식으로 패턴처리하는, 이상의 단계를 포함하는 것을 특징으로 하는 방법.-Patterning the photoresist layer in a photolithographic manner. 제 7 항에 있어서, 상기 증착 단계는 SiH4, N2, 그리고 O2를 포함하는 공급 기체를 이용하는 것을 특징으로 하는 방법.8. The method of claim 7, wherein said depositing step uses a feed gas comprising SiH 4 , N 2 , and O 2 . 제 8 항에 있어서, 공급 기체는 아르곤을 추가로 포함하는 것을 특징으로 하는 방법.9. The method of claim 8, wherein the feed gas further comprises argon. 제 7 항에 있어서, 증착 중 기판의 어느 한 부분 이상을 섭씨 300-800도로 유지하는 단계를 추가로 포함하는 것을 특징으로 하는 방법.8. The method of claim 7, further comprising maintaining at least one portion of the substrate during deposition at 300-800 degrees Celsius. 제 7 항에 있어서, 상기 증착 단계가 반응기 내에서 행하여지고, 증착 중 반응기 내의 온도가 섭씨 300-800 도인 것을 특징으로 하는 방법.8. The method of claim 7, wherein said depositing step is performed in a reactor, wherein the temperature in the reactor during deposition is 300-800 degrees Celsius. 제 7 항에 있어서, 상기 증착 단계가 반응기 내에서 행하여지고, 증착 중 반응기 내의 압력이 1-100 mTorr인 것을 특징으로 하는 방법.8. The method of claim 7, wherein said depositing step is performed in a reactor, wherein the pressure in the reactor during deposition is 1-100 mTorr. 제 7 항에 있어서, 상기 증착 단계가 반응기 내에서 행하여지고, 증착 중 기판은 100 와트로 바이어스되고 플라즈마는 2000 와트의 전력에서 유도되는 것을 특징으로 하는 방법.8. The method of claim 7, wherein the depositing step is performed in a reactor, during deposition, the substrate is biased at 100 Watts and the plasma is induced at 2000 Watts of power. 제 7 항에 있어서, 상기 증착 단계는 반응기 내에서 행하여지고, 증착 중 반응기 내의 온도는 섭씨 300-800도이며, 반응기 내의 압력은 1-100 mTorr인 것을 특징으로 하는 방법.8. The method of claim 7, wherein the depositing step is performed in a reactor, wherein the temperature in the reactor during deposition is 300-800 degrees Celsius and the pressure in the reactor is 1-100 mTorr. 제 7 항에 있어서, 상기 증착 단계는 반응기 내에서 행하여지고, 증착 중에 기판은 100 와트의 전력으로 바이어스되고, 플라즈마는 2000 와트의 전력에서 유도되는 것을 특징으로 하는 방법.8. The method of claim 7, wherein the depositing step is performed in a reactor, during deposition, the substrate is biased at 100 watts of power, and the plasma is induced at 2000 watts of power. 제 7 항에 있어서, 반사방지 물질층이 금속 실리사이드층 위에 위치할 때, 금속 실리사이드층을 어닐링처리하는 단계를 추가로 포함하는 것을 특징으로 하는 방법.8. The method of claim 7, further comprising annealing the metal silicide layer when the antireflective material layer is positioned over the metal silicide layer. 제 7 항에 있어서, 증착된 반사방지 물질층은 실리콘, 질소, 산소를 포함하는 것을 특징으로 하는 방법.8. The method of claim 7, wherein the deposited antireflective material layer comprises silicon, nitrogen, oxygen. 제 7 항에 있어서, 증착된 반사방지 물질층은 금속 실리사이드층과 직접 접촉하는 것을 특징으로 하는 방법.8. The method of claim 7, wherein the deposited antireflective material layer is in direct contact with the metal silicide layer. 트랜지스터 게이트 적층구조를 형성하는 방법으로서, 상기 방법은:A method of forming a transistor gate stack, the method comprising: - 기판 위에 폴리실리콘층을 형성하고,Forming a polysilicon layer on the substrate, - 폴리실리콘층 위에 금속 실리사이드층을 형성하며,Forming a metal silicide layer on the polysilicon layer, - 금속 실리사이드층 위에 반사방지 물질층을 증착하고,Depositing an antireflective material layer over the metal silicide layer, - 증착 중에 반사방지 물질층을 고밀도 플라즈마에 노출시키며,Exposing the antireflective material layer to high density plasma during deposition; - 반사방지 물질층 위에 포토레지스트층을 형성하고,Forming a photoresist layer on the antireflective material layer, - 포토레지스트층으로부터 패턴처리된 마스크층을 형성하기 위해 포토레지스트층을 포토리소그래피 방식으로 패턴처리하며,Patterning the photoresist layer in a photolithographic manner to form a patterned mask layer from the photoresist layer, - 반사방지 물질층, 금속 실리사이드층, 폴리실리콘층을 트랜지스터 게이트 적층구조로 패턴처리하기 위해, 패터처리된 마스크층으로부터 반사방지 물질층, 금속 실리사이드층, 그리고 폴리실리콘층까지 패턴을 전이하는, 이상의 단계를 포함하는 것을 특징으로 하는 방법.-To transfer the pattern from the patterned mask layer to the antireflective material layer, the metal silicide layer, and the polysilicon layer to pattern the antireflective material layer, the metal silicide layer, the polysilicon layer into a transistor gate stack structure And comprising a step. 제 19 항에 있어서, 상기 증착 단계는 섭씨 300-800 도로 반사방지 물질층을 증착하는 과정을 포함하는 것을 특징으로 하는 방법.20. The method of claim 19, wherein the depositing comprises depositing an antireflective material layer at 300-800 degrees Celsius. 제 19 항에 있어서, 상기 증착 단계는 반응기 내에서 행하여지고, 증착 중 반응기 내의 온도는 섭씨 300-800도인 것을 특징으로 하는 방법.20. The method of claim 19, wherein the depositing step is performed in a reactor, wherein the temperature in the reactor during deposition is 300-800 degrees Celsius. 제 19 항에 있어서, 상기 증착 단계는 반응기 내에서 행하여지고, 증착 중 반응기 내의 압력은 1-100 mTorr인 것을 특징으로 하는 방법.20. The method of claim 19, wherein said depositing step is performed in a reactor, wherein the pressure in the reactor during deposition is 1-100 mTorr. 제 19 항에 있어서, 상기 증착 단계는 반응기 내에서 행하여지고, 증착 중에 기판은 100 와트의 전력으로 바이어스되며, 약 2000 와트의 전력에서 플라즈마가 유도되는 것을 특징으로 하는 방법.20. The method of claim 19, wherein the depositing step is performed in a reactor, during deposition, the substrate is biased at 100 watts of power, and plasma is induced at about 2000 watts of power. 제 19 항에 있어서, 상기 증착 단계는 반응기 내에서 행하여지고, 증착 중에 반응기 내의 온도는 섭씨 300-800도이며, 증착 중에 반응기 내의 압력은 1-100 mTorr인 것을 특징으로 하는 방법.20. The method of claim 19, wherein the deposition step is performed in a reactor, wherein the temperature in the reactor during deposition is 300-800 degrees Celsius, and the pressure in the reactor during deposition is 1-100 mTorr. 제 19 항에 있어서, 상기 증착 단계는 반응기 내에서 행하여지고, 증착 중에 상기 기판은 100 와트의 전력으로 바이어스되며, 2000 와트의 전력에서 플라즈마가 유도되는 것을 특징으로 하는 방법.20. The method of claim 19, wherein the depositing step is performed in a reactor, wherein during deposition the substrate is biased at 100 watts of power and plasma is induced at 2000 watts of power. 제 19 항에 있어서, 반사방지 물질층이 금속 실리사이드층 위에 있을 때, 금속 실리사이드층을 어닐링처리하는, 단계를 추가로 포함하는 것을 특징으로 하는 방법.20. The method of claim 19, further comprising annealing the metal silicide layer when the antireflective material layer is over the metal silicide layer. 제 19 항에 있어서, 증착된 반사방지 물질층은 실리콘, 질소, 그리고 산소를 포함하는 것을 특징으로 하는 방법.20. The method of claim 19, wherein the deposited antireflective material layer comprises silicon, nitrogen, and oxygen. 제 19 항에 있어서, 증착된 반사방지 물질층은 금속 실리사이드층과 직접 접촉하는 것을 특징으로 하는 방법.20. The method of claim 19, wherein the deposited antireflective material layer is in direct contact with the metal silicide layer.
KR10-2001-7002809A 1998-09-03 1999-08-31 Semiconductor processing methods and methods of forming transistor gate stacks KR100423560B1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/146,841 US6268282B1 (en) 1998-09-03 1998-09-03 Semiconductor processing methods of forming and utilizing antireflective material layers, and methods of forming transistor gate stacks
US09/146,841 1998-09-03

Publications (2)

Publication Number Publication Date
KR20010074948A true KR20010074948A (en) 2001-08-09
KR100423560B1 KR100423560B1 (en) 2004-03-18

Family

ID=22519203

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2001-7002809A KR100423560B1 (en) 1998-09-03 1999-08-31 Semiconductor processing methods and methods of forming transistor gate stacks

Country Status (5)

Country Link
US (3) US6268282B1 (en)
JP (1) JP3542118B2 (en)
KR (1) KR100423560B1 (en)
AU (1) AU5590799A (en)
WO (1) WO2000014781A1 (en)

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6800378B2 (en) * 1998-02-19 2004-10-05 3M Innovative Properties Company Antireflection films for use with displays
US7804115B2 (en) 1998-02-25 2010-09-28 Micron Technology, Inc. Semiconductor constructions having antireflective portions
US6274292B1 (en) * 1998-02-25 2001-08-14 Micron Technology, Inc. Semiconductor processing methods
US6268282B1 (en) 1998-09-03 2001-07-31 Micron Technology, Inc. Semiconductor processing methods of forming and utilizing antireflective material layers, and methods of forming transistor gate stacks
US6281100B1 (en) 1998-09-03 2001-08-28 Micron Technology, Inc. Semiconductor processing methods
US6828683B2 (en) 1998-12-23 2004-12-07 Micron Technology, Inc. Semiconductor devices, and semiconductor processing methods
US7235499B1 (en) * 1999-01-20 2007-06-26 Micron Technology, Inc. Semiconductor processing methods
US6291361B1 (en) * 1999-03-24 2001-09-18 Conexant Systems, Inc. Method and apparatus for high-resolution in-situ plasma etching of inorganic and metal films
US6440860B1 (en) 2000-01-18 2002-08-27 Micron Technology, Inc. Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride
US7132219B2 (en) * 2001-02-02 2006-11-07 Brewer Science Inc. Polymeric antireflective coatings deposited by plasma enhanced chemical vapor deposition
US6573175B1 (en) 2001-11-30 2003-06-03 Micron Technology, Inc. Dry low k film application for interlevel dielectric and method of cleaning etched features
US6852474B2 (en) * 2002-04-30 2005-02-08 Brewer Science Inc. Polymeric antireflective coatings deposited by plasma enhanced chemical vapor deposition
KR100457844B1 (en) * 2002-08-27 2004-11-18 삼성전자주식회사 Method Of Etching Semiconductor Device
US6853043B2 (en) * 2002-11-04 2005-02-08 Applied Materials, Inc. Nitrogen-free antireflective coating for use with photolithographic patterning
US20050255410A1 (en) * 2004-04-29 2005-11-17 Guerrero Douglas J Anti-reflective coatings using vinyl ether crosslinkers
US20070207406A1 (en) * 2004-04-29 2007-09-06 Guerrero Douglas J Anti-reflective coatings using vinyl ether crosslinkers
KR100591133B1 (en) 2004-12-15 2006-06-19 동부일렉트로닉스 주식회사 Gate pattern forming method using arf photoresist
US7914974B2 (en) 2006-08-18 2011-03-29 Brewer Science Inc. Anti-reflective imaging layer for multiple patterning process
JP4462273B2 (en) * 2007-01-23 2010-05-12 セイコーエプソン株式会社 Optical article and manufacturing method thereof
US8283258B2 (en) * 2007-08-16 2012-10-09 Micron Technology, Inc. Selective wet etching of hafnium aluminum oxide films
JP5357186B2 (en) * 2008-01-29 2013-12-04 ブルーワー サイエンス アイ エヌ シー. On-track process for hard mask pattern formation by multiple dark field exposure
US9640396B2 (en) 2009-01-07 2017-05-02 Brewer Science Inc. Spin-on spacer materials for double- and triple-patterning lithography
EP3692408A1 (en) 2017-10-05 2020-08-12 Signify Holding B.V. Luminaire and lighting method

Family Cites Families (212)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2553314A (en) 1944-07-01 1951-05-15 Gen Electric Method of rendering materials water repellent
US4158717A (en) 1977-02-14 1979-06-19 Varian Associates, Inc. Silicon nitride film and method of deposition
US4523214A (en) 1981-07-03 1985-06-11 Fuji Photo Film Co., Ltd. Solid state image pickup device utilizing microcrystalline and amorphous silicon
US4562091A (en) 1982-12-23 1985-12-31 International Business Machines Corporation Use of plasma polymerized orgaosilicon films in fabrication of lift-off masks
US4444617A (en) 1983-01-06 1984-04-24 Rockwell International Corporation Reactive ion etching of molybdenum silicide and N+ polysilicon
US4474975A (en) 1983-05-09 1984-10-02 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Process for producing tris (N-methylamino) methylsilane
US4600671A (en) 1983-09-12 1986-07-15 Canon Kabushiki Kaisha Photoconductive member having light receiving layer of A-(Si-Ge) and N
US4702936A (en) 1984-09-20 1987-10-27 Applied Materials Japan, Inc. Gas-phase growth process
US4552783A (en) 1984-11-05 1985-11-12 General Electric Company Enhancing the selectivity of tungsten deposition on conductor and semiconductor surfaces
US4592129A (en) 1985-04-01 1986-06-03 Motorola, Inc. Method of making an integral, multiple layer antireflection coating by hydrogen ion implantation
JP2635021B2 (en) 1985-09-26 1997-07-30 宣夫 御子柴 Deposition film forming method and apparatus used for the same
US4648904A (en) 1986-02-14 1987-03-10 Scm Corporation Aqueous systems containing silanes for rendering masonry surfaces water repellant
US6087267A (en) 1986-03-04 2000-07-11 Motorola, Inc. Process for forming an integrated circuit
US4695859A (en) 1986-10-20 1987-09-22 Energy Conversion Devices, Inc. Thin film light emitting diode, photonic circuit employing said diode imager employing said circuits
US4764247A (en) 1987-03-18 1988-08-16 Syn Labs, Inc. Silicon containing resists
JPS63316476A (en) 1987-06-18 1988-12-23 Seiko Instr & Electronics Ltd Semiconductor device and manufacture thereof
US4755478A (en) 1987-08-13 1988-07-05 International Business Machines Corporation Method of forming metal-strapped polysilicon gate electrode for FET device
US4863755A (en) 1987-10-16 1989-09-05 The Regents Of The University Of California Plasma enhanced chemical vapor deposition of thin films of silicon nitride from cyclic organosilicon nitrogen precursors
US4870470A (en) 1987-10-16 1989-09-26 International Business Machines Corporation Non-volatile memory cell having Si rich silicon nitride charge trapping layer
US4833096A (en) 1988-01-19 1989-05-23 Atmel Corporation EEPROM fabrication process
US4805683A (en) 1988-03-04 1989-02-21 International Business Machines Corporation Method for producing a plurality of layers of metallurgy
US4940509A (en) 1988-03-25 1990-07-10 Texas Instruments, Incorporated Isotropic etchant for capped silicide processes
JPH02285638A (en) 1989-04-27 1990-11-22 Toshiba Corp Semiconductor device
US5270267A (en) 1989-05-31 1993-12-14 Mitel Corporation Curing and passivation of spin on glasses by a plasma process wherein an external polarization field is applied to the substrate
US4910160A (en) 1989-06-06 1990-03-20 National Semiconductor Corporation High voltage complementary NPN/PNP process
US5061509A (en) 1989-08-25 1991-10-29 Kabushiki Kaisha Toshiba Method of manufacturing polyimide thin film and method of manufacturing liquid crystal orientation film of polyimide
US4971655A (en) 1989-12-26 1990-11-20 Micron Technology, Inc. Protection of a refractory metal silicide during high-temperature processing using a dual-layer cap of silicon dioxide and silicon nitride
US5244537A (en) 1989-12-27 1993-09-14 Honeywell, Inc. Fabrication of an electronic microvalve apparatus
US4992306A (en) 1990-02-01 1991-02-12 Air Products Abd Chemicals, Inc. Deposition of silicon dioxide and silicon oxynitride films using azidosilane sources
US5140390A (en) 1990-02-16 1992-08-18 Hughes Aircraft Company High speed silicon-on-insulator device
JP2814009B2 (en) 1990-06-05 1998-10-22 三菱電機株式会社 Method for manufacturing semiconductor device
US5219613A (en) 1990-06-13 1993-06-15 Wacker-Chemitronic Gesellschaft Fur Elektronik-Grundstoffe Mbh Process for producing storage-stable surfaces of polished silicon wafers
JP2637265B2 (en) 1990-06-28 1997-08-06 株式会社東芝 Method of forming silicon nitride film
US5034348A (en) 1990-08-16 1991-07-23 International Business Machines Corp. Process for forming refractory metal silicide layers of different thicknesses in an integrated circuit
US5356515A (en) 1990-10-19 1994-10-18 Tokyo Electron Limited Dry etching method
US5314724A (en) 1991-01-08 1994-05-24 Fujitsu Limited Process for forming silicon oxide film
US5302366A (en) 1991-03-28 1994-04-12 Phillips Petroleum Company Production of silicon product containing both carbon and nitrogen
CA2056456C (en) 1991-08-14 2001-05-08 Luc Ouellet High performance passivation for semiconductor devices
KR940009599B1 (en) 1991-10-30 1994-10-15 삼성전자 주식회사 Forming method of inter-dielectric film for semiconductor device
US5652187A (en) 1991-10-30 1997-07-29 Samsung Electronics Co., Ltd. Method for fabricating doped interlayer-dielectric film of semiconductor device using a plasma treatment
US5470772A (en) 1991-11-06 1995-11-28 Intel Corporation Silicidation method for contactless EPROM related devices
US5276347A (en) 1991-12-18 1994-01-04 Sgs-Thomson Microelectronics, Inc. Gate overlapping LDD structure
US5472829A (en) 1991-12-30 1995-12-05 Sony Corporation Method of forming a resist pattern by using an anti-reflective layer
US5677111A (en) 1991-12-20 1997-10-14 Sony Corporation Process for production of micropattern utilizing antireflection film
US5670297A (en) 1991-12-30 1997-09-23 Sony Corporation Process for the formation of a metal pattern
US5472827A (en) 1991-12-30 1995-12-05 Sony Corporation Method of forming a resist pattern using an anti-reflective layer
JPH0667019A (en) 1992-01-17 1994-03-11 Asahi Glass Co Ltd Antireflection layer and its production
US5543654A (en) 1992-01-28 1996-08-06 Thunderbird Technologies, Inc. Contoured-tub fermi-threshold field effect transistor and method of forming same
JPH05275345A (en) 1992-03-30 1993-10-22 Nippon Sheet Glass Co Ltd Plasma cvd method and its device
EP0572704B1 (en) 1992-06-05 2000-04-19 Semiconductor Process Laboratory Co., Ltd. Method for manufacturing a semiconductor device including method of reforming an insulating film formed by low temperature CVD
US5874367A (en) 1992-07-04 1999-02-23 Trikon Technologies Limited Method of treating a semi-conductor wafer
TW349185B (en) 1992-08-20 1999-01-01 Sony Corp A semiconductor device
US5286661A (en) 1992-08-26 1994-02-15 Motorola, Inc. Method of forming a bipolar transistor having an emitter overhang
DE4231312C2 (en) 1992-09-18 1996-10-02 Siemens Ag Anti-reflective layer and method for the lithographic structuring of a layer
JP2684942B2 (en) 1992-11-30 1997-12-03 日本電気株式会社 Chemical vapor deposition method, chemical vapor deposition apparatus, and method for manufacturing multilayer wiring
US5429987A (en) 1993-01-25 1995-07-04 Sharp Microelectronics Technology, Inc. Method for profile control of selective metallization
US5312768A (en) 1993-03-09 1994-05-17 Micron Technology, Inc. Integrated process for fabricating raised, source/drain, short-channel transistors
US5397684A (en) 1993-04-27 1995-03-14 International Business Machines Corporation Antireflective polyimide dielectric for photolithography
US5378659A (en) 1993-07-06 1995-01-03 Motorola Inc. Method and structure for forming an integrated circuit pattern on a semiconductor substrate
KR970004447B1 (en) 1993-09-08 1997-03-27 삼성전자 주식회사 A method for forming anti-reflect-film and its application to manufacturing semiconductor devices
KR970007116B1 (en) 1993-08-31 1997-05-02 삼성전자 주식회사 Insulating film forming method of semiconductor device and apparatus therefor
JP2641385B2 (en) 1993-09-24 1997-08-13 アプライド マテリアルズ インコーポレイテッド Film formation method
FR2711275B1 (en) * 1993-10-15 1996-10-31 Intel Corp Automatically aligned contact process in semiconductor and product device manufacturing.
US5508881A (en) 1994-02-01 1996-04-16 Quality Microcircuits Corporation Capacitors and interconnect lines for use with integrated circuits
KR950034588A (en) 1994-03-17 1995-12-28 오가 노리오 Tantalum high dielectric material and formation method of high dielectric film and semiconductor device
JP3254885B2 (en) 1994-03-22 2002-02-12 双葉電子工業株式会社 Manufacturing method of resistor
KR100366910B1 (en) 1994-04-05 2003-03-04 소니 가부시끼 가이샤 Manufacturing method of semiconductor device
US5441914A (en) * 1994-05-02 1995-08-15 Motorola Inc. Method of forming conductive interconnect structure
US5858880A (en) 1994-05-14 1999-01-12 Trikon Equipment Limited Method of treating a semi-conductor wafer
US5461003A (en) 1994-05-27 1995-10-24 Texas Instruments Incorporated Multilevel interconnect structure with air gaps formed between metal leads
KR100327086B1 (en) 1994-06-15 2002-03-06 구사마 사부로 Fabrication method for a thin film semiconductor device, the thin film semiconductor device itself, liquid crystal dispaly, and electronic device
US5536857A (en) 1994-07-05 1996-07-16 Ford Motor Company Single source volatile precursor for SiO2.TiO2 powders and films
KR960005761A (en) 1994-07-27 1996-02-23 이데이 노부유끼 Semiconductor device
US5413963A (en) 1994-08-12 1995-05-09 United Microelectronics Corporation Method for depositing an insulating interlayer in a semiconductor metallurgy system
US5482894A (en) 1994-08-23 1996-01-09 Texas Instruments Incorporated Method of fabricating a self-aligned contact using organic dielectric materials
JP3963961B2 (en) 1994-08-31 2007-08-22 株式会社半導体エネルギー研究所 Method for manufacturing semiconductor device
US5554567A (en) 1994-09-01 1996-09-10 Taiwan Semiconductor Manufacturing Company Ltd. Method for improving adhesion to a spin-on-glass
US5439838A (en) 1994-09-14 1995-08-08 United Microelectronics Corporation Method of thinning for EEPROM tunneling oxide device
US5600153A (en) 1994-10-07 1997-02-04 Micron Technology, Inc. Conductive polysilicon lines and thin film transistors
JP3334370B2 (en) 1994-10-13 2002-10-15 ヤマハ株式会社 Semiconductor devices
US5498555A (en) 1994-11-07 1996-03-12 United Microelectronics Corporation Method of making LDD with polysilicon and dielectric spacers
DE19500674A1 (en) 1995-01-12 1996-07-18 Degussa Surface modified pyrogenic mixed oxides, process for their production and use
NO303649B1 (en) 1995-02-03 1998-08-10 Bj Services As The bridge plug
JP3348263B2 (en) 1995-02-08 2002-11-20 富士通株式会社 Method for manufacturing semiconductor device
JPH08239241A (en) 1995-02-28 1996-09-17 Toray Dow Corning Silicone Co Ltd Water-repelling agent for glass and water-repelling glass
US5962581A (en) 1995-04-28 1999-10-05 Kabushiki Kaisha Toshiba Silicone polymer composition, method of forming a pattern and method of forming an insulating film
FR2734402B1 (en) 1995-05-15 1997-07-18 Brouquet Pierre PROCESS FOR ELECTRICAL ISOLATION IN MICROELECTRONICS, APPLICABLE TO NARROW CAVITIES, BY DEPOSITION OF OXIDE IN THE VISCOUS STATE AND CORRESPONDING DEVICE
US6040619A (en) 1995-06-07 2000-03-21 Advanced Micro Devices Semiconductor device including antireflective etch stop layer
US5710067A (en) 1995-06-07 1998-01-20 Advanced Micro Devices, Inc. Silicon oxime film
JPH0955351A (en) 1995-08-15 1997-02-25 Sony Corp Manufacture of semiconductor device
JP3061255B2 (en) 1995-08-18 2000-07-10 キヤノン販売株式会社 Film formation method
US5948482A (en) 1995-09-19 1999-09-07 University Of New Mexico Ambient pressure process for preparing aerogel thin films reliquified sols useful in preparing aerogel thin films
US5750442A (en) 1995-09-25 1998-05-12 Micron Technology, Inc. Germanium as an antireflective coating and method of use
TW362118B (en) 1995-10-30 1999-06-21 Dow Corning Method for depositing amorphous SiNC coatings
US5744399A (en) 1995-11-13 1998-04-28 Lsi Logic Corporation Process for forming low dielectric constant layers using fullerenes
US5968324A (en) 1995-12-05 1999-10-19 Applied Materials, Inc. Method and apparatus for depositing antireflective coating
EP0793271A3 (en) * 1996-02-22 1998-12-02 Matsushita Electric Industrial Co., Ltd. Semiconductor device having a metal silicide film and method of fabricating the same
US5838052A (en) 1996-03-07 1998-11-17 Micron Technology, Inc. Reducing reflectivity on a semiconductor wafer by annealing titanium and aluminum
US6008121A (en) 1996-03-19 1999-12-28 Siemens Aktiengesellschaft Etching high aspect contact holes in solid state devices
US5759746A (en) 1996-05-24 1998-06-02 Kabushiki Kaisha Toshiba Fabrication process using a thin resist
US6127262A (en) 1996-06-28 2000-10-03 Applied Materials, Inc. Method and apparatus for depositing an etch stop layer
KR100255512B1 (en) 1996-06-29 2000-05-01 김영환 Manufacturing method of flash memory device
JPH1041506A (en) * 1996-07-25 1998-02-13 Nec Corp Semiconductor device and its manufacture
US5661093A (en) 1996-09-12 1997-08-26 Applied Materials, Inc. Method for the stabilization of halogen-doped films through the use of multiple sealing layers
US5691212A (en) 1996-09-27 1997-11-25 Taiwan Semiconductor Manufacturing Company, Ltd. MOS device structure and integration method
US5711987A (en) 1996-10-04 1998-01-27 Dow Corning Corporation Electronic coatings
KR100243266B1 (en) * 1996-10-24 2000-03-02 윤종용 (Ge, Si)Nx antireflective layer and fabricating method of semiconductor device pattern using the same
US5923999A (en) * 1996-10-29 1999-07-13 International Business Machines Corporation Method of controlling dopant diffusion and metal contamination in thin polycide gate conductor of mosfet device
US5994730A (en) 1996-11-21 1999-11-30 Alliance Semiconductor Corporation DRAM cell having storage capacitor contact self-aligned to bit lines and word lines
US5994217A (en) 1996-12-16 1999-11-30 Chartered Semiconductor Manufacturing Ltd. Post metallization stress relief annealing heat treatment for ARC TiN over aluminum layers
US5796151A (en) 1996-12-19 1998-08-18 Texas Instruments Incorporated Semiconductor stack having a dielectric sidewall for prevention of oxidation of tungsten in tungsten capped poly-silicon gate electrodes
US6184158B1 (en) 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
US5840610A (en) 1997-01-16 1998-11-24 Advanced Micro Devices, Inc. Enhanced oxynitride gate dielectrics using NF3 gas
US5783493A (en) 1997-01-27 1998-07-21 Taiwan Semiconductor Manufacturing Company Ltd. Method for reducing precipitate defects using a plasma treatment post BPSG etchback
US5807660A (en) 1997-02-03 1998-09-15 Taiwan Semiconductor Manufacturing Company Ltd. Avoid photoresist lifting by post-oxide-dep plasma treatment
JPH10261574A (en) 1997-03-19 1998-09-29 Fujitsu Ltd Manufacture of semiconductor device
US5792689A (en) 1997-04-11 1998-08-11 Vanguard International Semiconducter Corporation Method for manufacturing double-crown capacitors self-aligned to node contacts on dynamic random access memory
US6284677B1 (en) * 1997-04-18 2001-09-04 United Semiconductor Corp. Method of forming fluorosilicate glass (FSG) layers with moisture-resistant capability
US5933721A (en) 1997-04-21 1999-08-03 Advanced Micro Devices, Inc. Method for fabricating differential threshold voltage transistor pair
TW375779B (en) 1997-06-03 1999-12-01 United Microelectronics Corp Method for treating via side wall
US5883011A (en) * 1997-06-18 1999-03-16 Vlsi Technology, Inc. Method of removing an inorganic antireflective coating from a semiconductor substrate
JPH1116904A (en) * 1997-06-26 1999-01-22 Mitsubishi Electric Corp Semiconductor device and manufacture thereof
JP3390329B2 (en) 1997-06-27 2003-03-24 日本電気株式会社 Semiconductor device and manufacturing method thereof
KR100248144B1 (en) 1997-06-30 2000-03-15 김영환 Method of forming contact of semicondcutor device
US5973356A (en) 1997-07-08 1999-10-26 Micron Technology, Inc. Ultra high density flash memory
US5851603A (en) * 1997-07-14 1998-12-22 Vanguard International Semiconductor Corporation Method for making a plasma-enhanced chemical vapor deposited SiO2 Si3 N4 multilayer passivation layer for semiconductor applications
US6020243A (en) * 1997-07-24 2000-02-01 Texas Instruments Incorporated Zirconium and/or hafnium silicon-oxynitride gate dielectric
US6133618A (en) 1997-08-14 2000-10-17 Lucent Technologies Inc. Semiconductor device having an anti-reflective layer and a method of manufacture thereof
US5959325A (en) 1997-08-21 1999-09-28 International Business Machines Corporation Method for forming cornered images on a substrate and photomask formed thereby
US6121133A (en) * 1997-08-22 2000-09-19 Micron Technology, Inc. Isolation using an antireflective coating
US6060766A (en) 1997-08-25 2000-05-09 Advanced Micro Devices, Inc. Protection of hydrogen sensitive regions in semiconductor devices from the positive charge associated with plasma deposited barriers or layers
TW368687B (en) 1997-10-22 1999-09-01 Air Products San Fu Co Ltd Method for improving water-resistant property of silicon nitride thin film doped with fluorine
US6187694B1 (en) 1997-11-10 2001-02-13 Intel Corporation Method of fabricating a feature in an integrated circuit using two edge definition layers and a spacer
US5968611A (en) 1997-11-26 1999-10-19 The Research Foundation Of State University Of New York Silicon nitrogen-based films and method of making the same
US6218292B1 (en) * 1997-12-18 2001-04-17 Advanced Micro Devices, Inc. Dual layer bottom anti-reflective coating
JPH11195704A (en) 1998-01-05 1999-07-21 Mitsubishi Electric Corp Semiconductor device and manufacture thereof
US6204168B1 (en) 1998-02-02 2001-03-20 Applied Materials, Inc. Damascene structure fabricated using a layer of silicon-based photoresist material
US6133613A (en) 1998-02-03 2000-10-17 Vanguard International Semiconductor Corporation Anti-reflection oxynitride film for tungsten-silicide substrates
US6118163A (en) * 1998-02-04 2000-09-12 Advanced Micro Devices, Inc. Transistor with integrated poly/metal gate electrode
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6004850A (en) 1998-02-23 1999-12-21 Motorola Inc. Tantalum oxide anti-reflective coating (ARC) integrated with a metallic transistor gate electrode and method of formation
US6274292B1 (en) 1998-02-25 2001-08-14 Micron Technology, Inc. Semiconductor processing methods
EP0942330A1 (en) 1998-03-11 1999-09-15 Applied Materials, Inc. Process for depositing and developing a plasma polymerized organosilicon photoresist film
US6136636A (en) 1998-03-25 2000-10-24 Texas Instruments - Acer Incorporated Method of manufacturing deep sub-micron CMOS transistors
US6001741A (en) 1998-04-15 1999-12-14 Lucent Technologies Inc. Method for making field effect devices and capacitors with improved thin film dielectrics and resulting devices
US6140151A (en) 1998-05-22 2000-10-31 Micron Technology, Inc. Semiconductor wafer processing method
US6159871A (en) 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US5960289A (en) * 1998-06-22 1999-09-28 Motorola, Inc. Method for making a dual-thickness gate oxide layer using a nitride/oxide composite region
US6140677A (en) 1998-06-26 2000-10-31 Advanced Micro Devices, Inc. Semiconductor topography for a high speed MOSFET having an ultra narrow gate
US6001747A (en) 1998-07-22 1999-12-14 Vlsi Technology, Inc. Process to improve adhesion of cap layers in integrated circuits
JP2000068261A (en) 1998-08-19 2000-03-03 Toshiba Corp Manufacture of semiconductor device
US6208004B1 (en) * 1998-08-19 2001-03-27 Philips Semiconductor, Inc. Semiconductor device with high-temperature-stable gate electrode for sub-micron applications and fabrication thereof
US6159804A (en) 1998-09-02 2000-12-12 Advanced Micro Devices, Inc. Disposable sidewall oxidation fabrication method for making a transistor having an ultra short channel length
US6281100B1 (en) 1998-09-03 2001-08-28 Micron Technology, Inc. Semiconductor processing methods
US6268282B1 (en) 1998-09-03 2001-07-31 Micron Technology, Inc. Semiconductor processing methods of forming and utilizing antireflective material layers, and methods of forming transistor gate stacks
US6323101B1 (en) 1998-09-03 2001-11-27 Micron Technology, Inc. Semiconductor processing methods, methods of forming silicon dioxide methods of forming trench isolation regions, and methods of forming interlevel dielectric layers
US6087064A (en) 1998-09-03 2000-07-11 International Business Machines Corporation Silsesquioxane polymers, method of synthesis, photoresist composition, and multilayer lithographic method
US6373114B1 (en) 1998-10-23 2002-04-16 Micron Technology, Inc. Barrier in gate stack for improved gate dielectric integrity
US5981368A (en) 1998-11-05 1999-11-09 Advanced Micro Devices Enhanced shallow junction design by polysilicon line width reduction using oxidation with integrated spacer formation
US6156674A (en) 1998-11-25 2000-12-05 Micron Technology, Inc. Semiconductor processing methods of forming insulative materials
US6444593B1 (en) 1998-12-02 2002-09-03 Advanced Micro Devices, Inc. Surface treatment of low-K SiOF to prevent metal interaction
US6133096A (en) 1998-12-10 2000-10-17 Su; Hung-Der Process for simultaneously fabricating a stack gate flash memory cell and salicided periphereral devices
US6143670A (en) 1998-12-28 2000-11-07 Taiwan Semiconductor Manufacturing Company Method to improve adhesion between low dielectric constant layer and silicon containing dielectric layer
US6235568B1 (en) 1999-01-22 2001-05-22 Intel Corporation Semiconductor device having deposited silicon regions and a method of fabrication
US6037228A (en) * 1999-02-12 2000-03-14 United Microelectronics Corp. Method of fabricating self-aligned contact window which includes forming a undoped polysilicon spacer that extends into a recess of the gate structure
US6492688B1 (en) 1999-03-02 2002-12-10 Siemens Aktiengesellschaft Dual work function CMOS device
US6187657B1 (en) 1999-03-24 2001-02-13 Advanced Micro Devices, Inc. Dual material gate MOSFET technique
US6200863B1 (en) * 1999-03-24 2001-03-13 Advanced Micro Devices, Inc. Process for fabricating a semiconductor device having assymetric source-drain extension regions
US6028015A (en) 1999-03-29 2000-02-22 Lsi Logic Corporation Process for treating damaged surfaces of low dielectric constant organo silicon oxide insulation material to inhibit moisture absorption
US6503818B1 (en) 1999-04-02 2003-01-07 Taiwan Semiconductor Manufacturing Company Delamination resistant multi-layer composite dielectric layer employing low dielectric constant dielectric material
US6432791B1 (en) * 1999-04-14 2002-08-13 Texas Instruments Incorporated Integrated circuit capacitor and method
TW420844B (en) 1999-06-21 2001-02-01 Taiwan Semiconductor Mfg Method for decreasing the removing rate of polishing for low dielectric constant material
US6096656A (en) 1999-06-24 2000-08-01 Sandia Corporation Formation of microchannels from low-temperature plasma-deposited silicon oxynitride
US6030901A (en) 1999-06-24 2000-02-29 Advanced Micro Devices, Inc. Photoresist stripping without degrading low dielectric constant materials
US6130168A (en) 1999-07-08 2000-10-10 Taiwan Semiconductor Manufacturing Company Using ONO as hard mask to reduce STI oxide loss on low voltage device in flash or EPROM process
US6221708B1 (en) 1999-07-23 2001-04-24 Micron Technology, Inc. Field effect transistor assemblies, integrated circuitry, and methods of forming field effect transistors and integrated circuitry
US6281135B1 (en) * 1999-08-05 2001-08-28 Axcelis Technologies, Inc. Oxygen free plasma stripping process
EP1077479A1 (en) 1999-08-17 2001-02-21 Applied Materials, Inc. Post-deposition treatment to enchance properties of Si-O-C low K film
DE69940114D1 (en) 1999-08-17 2009-01-29 Applied Materials Inc Surface treatment of carbon-doped SiO 2 films to increase the stability during O 2 ashing
US6198144B1 (en) 1999-08-18 2001-03-06 Micron Technology, Inc. Passivation of sidewalls of a word line stack
US7067414B1 (en) * 1999-09-01 2006-06-27 Micron Technology, Inc. Low k interlevel dielectric layer fabrication methods
US6235591B1 (en) 1999-10-25 2001-05-22 Chartered Semiconductor Manufacturing Company Method to form gate oxides of different thicknesses on a silicon substrate
US6403464B1 (en) 1999-11-03 2002-06-11 Taiwan Semiconductor Manufacturing Company Method to reduce the moisture content in an organic low dielectric constant material
JP2001160558A (en) 1999-12-02 2001-06-12 Nec Corp Method and apparatus for manufacturing semiconductor device
TW429473B (en) 1999-12-16 2001-04-11 United Microelectronics Corp Method for forming dielectric layer with capability to resist the diffusion of copper
US6518122B1 (en) 1999-12-17 2003-02-11 Chartered Semiconductor Manufacturing Ltd. Low voltage programmable and erasable flash EEPROM
US6949203B2 (en) * 1999-12-28 2005-09-27 Applied Materials, Inc. System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
US6440860B1 (en) 2000-01-18 2002-08-27 Micron Technology, Inc. Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride
GB0001179D0 (en) * 2000-01-19 2000-03-08 Trikon Holdings Ltd Methods & apparatus for forming a film on a substrate
US6558755B2 (en) 2000-03-20 2003-05-06 Dow Corning Corporation Plasma curing process for porous silica thin film
US6794311B2 (en) * 2000-07-14 2004-09-21 Applied Materials Inc. Method and apparatus for treating low k dielectric layers to reduce diffusion
US20020033486A1 (en) 2000-08-04 2002-03-21 Samsung Electronics Co., Ltd. Method for forming an interconnection line using a hydrosilsesquioxane (HSQ) layer as an interlayer insulating layer
US7166524B2 (en) * 2000-08-11 2007-01-23 Applied Materials, Inc. Method for ion implanting insulator material to reduce dielectric constant
JP2002110679A (en) * 2000-09-29 2002-04-12 Hitachi Ltd Method for manufacturing semiconductor integrated circuit device
TW462085B (en) 2000-10-26 2001-11-01 United Microelectronics Corp Planarization of organic silicon low dielectric constant material by chemical mechanical polishing
US6436808B1 (en) 2000-12-07 2002-08-20 Advanced Micro Devices, Inc. NH3/N2-plasma treatment to prevent organic ILD degradation
US6720247B2 (en) * 2000-12-14 2004-04-13 Texas Instruments Incorporated Pre-pattern surface modification for low-k dielectrics using A H2 plasma
US6583047B2 (en) 2000-12-26 2003-06-24 Honeywell International, Inc. Method for eliminating reaction between photoresist and OSG
TW471134B (en) 2001-02-27 2002-01-01 United Microelectronics Corp Manufacturing method for multilevel interconnects
US6348407B1 (en) * 2001-03-15 2002-02-19 Chartered Semiconductor Manufacturing Inc. Method to improve adhesion of organic dielectrics in dual damascene interconnects
US6486057B1 (en) 2001-04-12 2002-11-26 National Science Council Process for preparing Cu damascene interconnection
US6979654B2 (en) 2001-07-03 2005-12-27 United Microelectronics Corp. Method of avoiding dielectric layer deterioation with a low dielectric constant during a stripping process
US6656837B2 (en) * 2001-10-11 2003-12-02 Applied Materials, Inc. Method of eliminating photoresist poisoning in damascene applications
JP4063619B2 (en) * 2002-03-13 2008-03-19 Necエレクトロニクス株式会社 Manufacturing method of semiconductor device
JP4177993B2 (en) * 2002-04-18 2008-11-05 株式会社ルネサステクノロジ Semiconductor device and manufacturing method thereof
JP2004165634A (en) * 2002-08-15 2004-06-10 Interuniv Micro Electronica Centrum Vzw Plasma treatment for ald surface treatment
US6632712B1 (en) * 2002-10-03 2003-10-14 Chartered Semiconductor Manufacturing Ltd. Method of fabricating variable length vertical transistors
US6811936B2 (en) * 2002-12-31 2004-11-02 Freescale Semiconductor Inc. Structure and process for a pellicle membrane for 157 nanometer lithography
JP2004273483A (en) * 2003-03-05 2004-09-30 Sanyo Electric Co Ltd Method of forming wiring structure
JP2005050954A (en) * 2003-07-31 2005-02-24 Toshiba Corp Semiconductor device and manufacturing method therefor
US6790778B1 (en) * 2003-09-10 2004-09-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method for capping over a copper layer
US7250370B2 (en) * 2003-09-19 2007-07-31 Taiwan Semiconductor Manufacturing Company, Ltd. Two step post-deposition treatment of ILD layer for a lower dielectric constant and improved mechanical properties

Also Published As

Publication number Publication date
JP2002524873A (en) 2002-08-06
US6268282B1 (en) 2001-07-31
JP3542118B2 (en) 2004-07-14
US7151054B2 (en) 2006-12-19
AU5590799A (en) 2000-03-27
US20040180537A1 (en) 2004-09-16
US20010044221A1 (en) 2001-11-22
US6727173B2 (en) 2004-04-27
WO2000014781A1 (en) 2000-03-16
KR100423560B1 (en) 2004-03-18

Similar Documents

Publication Publication Date Title
KR100423560B1 (en) Semiconductor processing methods and methods of forming transistor gate stacks
US20090294878A1 (en) Circuitry and gate stacks
US6461970B1 (en) Method of reducing defects in anti-reflective coatings and semiconductor structures fabricated thereby
US6214637B1 (en) Method of forming a photoresist pattern on a semiconductor substrate using an anti-reflective coating deposited using only a hydrocarbon based gas
US8465903B2 (en) Radiation patternable CVD film
US5710067A (en) Silicon oxime film
US6133618A (en) Semiconductor device having an anti-reflective layer and a method of manufacture thereof
US20130048605A1 (en) Double patterning etching process
US8293651B2 (en) Method of forming thin film pattern for semiconductor device and apparatus for the same
US6365320B1 (en) Process for forming anti-reflective film for semiconductor fabrication using extremely short wavelength deep ultraviolet photolithography
JP2011511476A (en) Eliminate photoresist material collapse and poisoning at 45 nm feature size using dry or immersion lithography
KR100893675B1 (en) Method of forming an amorphous carbon film and method of manufacturing semiconductor device using the same
KR100326954B1 (en) Method for manufacturing a semiconductor device
Nakata et al. LOW-TEMPERATURE-PROCESSED GATE INSULATOR FOR POLY-SI TFTS MADE THROUGH A COMBINATION OF PHOTO-OXIDATION AND PECVD
Nault et al. Single layer chemical vapor deposition photoresist for 193 nm deep ultraviolet photolithography
Zhang et al. Vacuum Ultraviolet Annealing of Tantalum Oxide Films Deposited at Room Temperature by Photo-Induced Cvd
US20050064688A1 (en) Methods for fabricating semiconductor devices
JPH07307277A (en) Forming method of antireflection film and forming method of fine pattern

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130227

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20140220

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20150130

Year of fee payment: 12

LAPS Lapse due to unpaid annual fee