JP2019114790A - 移動可能及び取り外し可能なプロセスキット - Google Patents

移動可能及び取り外し可能なプロセスキット Download PDF

Info

Publication number
JP2019114790A
JP2019114790A JP2018238523A JP2018238523A JP2019114790A JP 2019114790 A JP2019114790 A JP 2019114790A JP 2018238523 A JP2018238523 A JP 2018238523A JP 2018238523 A JP2018238523 A JP 2018238523A JP 2019114790 A JP2019114790 A JP 2019114790A
Authority
JP
Japan
Prior art keywords
ring
outer diameter
support
edge
slide
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2018238523A
Other languages
English (en)
Other versions
JP7297440B2 (ja
Inventor
シュミッド アンドレアズ
Schmid Andreas
シュミッド アンドレアズ
エム クーソー デニス
M Koosau Dennis
エム クーソー デニス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2019114790A publication Critical patent/JP2019114790A/ja
Application granted granted Critical
Publication of JP7297440B2 publication Critical patent/JP7297440B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge clamping, e.g. clamping ring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/6875Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Automation & Control Theory (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)
  • Input Circuits Of Receivers And Coupling Of Receivers And Audio Equipment (AREA)
  • Farming Of Fish And Shellfish (AREA)
  • Telephone Function (AREA)

Abstract

【課題】半導体処理で使用される処理チャンバの中でエッジリング及び/又は支持リングを交換するための装置及び方法を提供する。【解決手段】処理チャンバにおいて、プロセスキットは、エッジリング161、支持リング145、スライドリング149により構成される。スライドリング149が、静電チャックベース141、パック142、及び支持リング145の周囲に周状に配置されている。スライドリング149は、複数のリフトピン150を収容するように構成され、リフトピン150は、スライドリング149に形成されたそれぞれの開口部151に配置されている。スライドリング149及びリフトピン150の各々は、ステッピングモーター等のアクチュエータによって互いに独立して垂直に作動する。【選択図】図1B

Description

背景
(分野)
本開示の態様は、一般に、半導体処理で使用される処理チャンバ等の中でエッジリング及び/又は支持リングを交換するための装置及び方法に関する。
(関連技術の説明)
エッチングチャンバ等の処理チャンバでは、基板は静電的に定位置に固定された状態でエッチングされる。典型的には、エッジリング、プロセスリング、支持リング等と呼ばれる1つ以上の円形部品が、基板の外径の周りに位置決め配置されて、静電チャックの上面がエッチング液の化学反応によってエッチングされるのを防いでいる、又は基板の処理を容易にしている。これらのリングはいくつかの異なる材料から作られ、異なる形状を有し得る。材料と形状はともに基板周辺部近傍でのプロセス均一性に影響を及ぼす。処理の間に、これらのリングは時間の経過と共にエッチングされ、その結果、形状及び処理の均一性に変化が生じる。
劣化による、処理の均一性の変化に対処するために、これらのリングはスケジュールに従って取り替えられる。従来、これらのリングのうちの1つを交換するにも、処理チャンバは解放されて、オペレータが内部のリングにアクセスしている。しかし、このプロセスは時間がかかり、処理チャンバの通気のために、処理をオンラインに戻すのに最大24時間かかることもある。
従って、処理チャンバ内の消耗部品を交換するための新しい方法及び装置が必要とされている。
概要
本開示の態様は、一般的に、処理チャンバ内のプロセスキットリング及び/又は支持リング及び/又はエッジリング等の、消耗部品交換のための装置及び方法に関する。
一例では、基板支持体用のプロセスキットは、内径及び外径によって画定される本体を有するスライドリングを備え、本体には1つ以上の開口部が貫通形成され、1つ以上の開口部の各々は、本体の中央開口部の軸と平行な軸を有することができる。プロセスキットはまた、半径方向外側部分より上に半径方向内側部分が上がっている段付き上面を有する支持リングを備え、支持リングは、スライドリングの本体の内径よりも小さい外径を有する。プロセスキットはさらに、平坦な上面及び平坦な下面を有するエッジリングを備え、エッジリングは、支持リングの外径よりも小さい内径と、支持リングの外径よりも大きい外径とを有する。
別の例では、基板支持体用のプロセスキットは、内径及び外径によって画定される本体を有するスライドリングを備え、本体には1つ以上の開口部が貫通形成され、1つ以上の開口部の各々は、本体の中央開口部の軸と平行な軸を有することができる。プロセスキットはまた、半径方向外側部分より上に半径方向内側部分が上がっている段付き上面を有する支持リングを備え、支持リングは、スライドリングの本体の内径よりも大きい外径と、支持リングを貫通して形成される1つ以上の開口部を有する。ここで、支持リングを貫通して形成された1つ以上の開口部の各々を、スライドリングの本体を貫通して形成された1つ以上の開口部のうちの1つと一直線に並ばせてもよい。プロセスキットはさらに、平坦な上面及び平坦な下面を有するエッジリングを備え、エッジリングは、支持リングの外径よりも小さい内径と、支持リングの外径よりも大きい外径とを有する。
別の例では、基板支持体は静電チャックベースと、静電チャックベース上に位置決め配置されたパックと、基板支持体用のプロセスキットとを備える。基板支持体用のプロセスキットは、内径及び外径によって画定される本体を有するスライドリングを備え、本体には1つ以上の開口部が貫通形成され、1つ以上の開口部の各々は、本体の中央開口部の軸と平行な軸を有することができる。プロセスキットはまた、半径方向外側部分より上に半径方向内側部分が上がっている段付き上面を有する支持リングを備え、支持リングは、スライドリングの本体の内径よりも小さい外径を有する。プロセスキットはさらに、平坦な上面及び平坦な下面を有するエッジリングを備え、エッジリングは、支持リングの外径よりも小さい内径と、支持リングの外径よりも大きい外径とを有する。
別の例では、方法は、複数のリフトピンを垂直上方に作動させる工程であって、各リフトピンは、その上部に第1の直径と、その下部に第1の直径よりも大きい第2の直径とを有しており、作動させる工程は、リフトピンの上部を、支持リングの対応する開口部を通させることを含んでいる工程と、支持リング上に位置決め配置されたエッジリングを垂直方向に作動させる工程と、エッジリングをキャリアに受け渡す工程と、エッジリングを処理チャンバから取り出す工程とを含む。
本開示の列挙された構成が詳細に理解され得るように、上記で簡単に要約した本開示のより具体的な説明を、実施形態を参照することによって行う。実施形態のいくつかは添付図面に示される。しかしながら、添付図面は例示的な実施形態を示すに過ぎず、従って範囲を限定すると解釈されるべきではなく、本開示は他の同等に有効な実施形態を含み得ることに留意すべきである。
本開示の態様による、処理チャンバからリングを取り出す間の処理チャンバの部分概略断面図である。 図1A〜図1Jに示される、本開示の一態様によるスライドリングの平面図である。 本開示の別の態様による、リング取り外し操作中の基板支持体の概略部分図である。 本開示の別の態様による、リング取り外し操作中の基板支持体の概略部分図である。 本開示の一態様によるキャリアの概略上面図である。 図4Aのキャリアの概略底面図である。 上部でリングを支持するキャリアの概略平面図である。 図5Aの概略断面図である。 本開示の一態様による処理システムを示す図である。
理解を容易にするために、可能であれば同一の符号を使用して、これらの図に共通する同一要素を示している。1つの実行形態の要素及びフィーチャーは、具体的な記述がなくとも、他の実施態様に有益に組み込まれ得ると意図される。
詳細な説明
本開示の態様は、一般に、キャリアを使用する処理チャンバのプロセスキットの1つ以上の部品を取り外すか又は取り替えることに関する。プロセスキットの1つ以上の構成部品には、エッジリング、支持リング、スライドリング、他の消耗部品又は劣化性部品が含まれる。
図1A−1Jは、本開示の態様による、エッジリング161を取り外す時の処理チャンバ107の部分概略断面図である。従来の処理チャンバは、操作者が分解して、エッジリング161等腐食を受けた部品の交換を行うことを必要とするが、処理チャンバ107は、処理チャンバ107を分解することなく、エッジリング161を容易に交換できるように構成されている。処理チャンバ107のポート108を通過してエッジリング161を搬送することで、処理チャンバ107の分解は回避される。
処理チャンバ107は、エッチングチャンバ、堆積チャンバ(原子層堆積、化学気相堆積、物理気相堆積、又はそれらのプラズマ援用形式を含む)、アニールチャンバ等、その内部にある基板支持体140を利用する、いずれのものでもよい。典型的な処理チェンバには、カリフォルニア州サンタクララのアプライドマテリアルズ社によって製造された処理チェンバが含まれる。
処理チャンバ107は、上に基板143を受けるための基板支持体140(図1Bに示す)と、基板143を出し入れするためのポート108とを備える。基板支持体140は、例えば静電チャックを備えることで、基板支持体140の上面での基板のチャッキングが容易になる。図1Bは、図1Aの部分拡大図である。
図1Bに示すように、基板支持体140は静電チャックベース141を備え、静電チャックベース141はその上に配置されたパック142を有する。パックは、アルミナ又は酸化アルミニウムから形成される。200mm、300mm、又は450mmの半導体ウェハ等の基板143(仮想線で示す)をパック142上に配置し、静電チャックを介してそこに固定して、処理チャンバ107内での基板143の処理を容易にできる。
支持リング145は、パック142の周りに、それと接触して配置されている。支持リング145は、パック142の半径方向外側の上端部に形成された段付き面に置かれている。支持リング145は、半径方向内側部分146を有する段付き上面を備えており、半径方向内側部分146は半径方向外側部分147の上に立ち上がっている。支持リング145の下面148は、半径方向内側部分146と半径方向外側部分147の両方に対して平行である。一例では、下面148は、パック142に形成された段付き面の下部180と接している。別の例では、下面148はパック142に形成された段付き面の下部180と接し、さらに、支持リング145の半径方向内側側壁190は、パック142の周りに形成された段付き面の垂直縁部182と接している。
スライドリング149が、静電チャックベース141、パック142、及び支持リング145の周囲に周状に配置されている。スライドリング149は、複数のリフトピン150を収容するように構成され、リフトピン150は、スライドリング149に形成されたそれぞれの開口部151に配置されている。スライドリング149及びリフトピン150の各々は、ステッピングモーター等のアクチュエータ(図示せず)によって互いに独立して垂直に作動してもよい。石英管152は、スライドリング149の半径方向外側に配置されている。ライナ153は、石英管152の半径方向外側に配置されている。プラズマシールド154は、ライナ153の上面に配置されており、石英管152の上端部を囲んでいる。プラズマシールド154は、処理チャンバ107内のプラズマの流れを制限する。
図1Bに示すように、支持リング145の外縁部193によって画定される外径は、スライドリング149の内縁部183によって画定される内径よりも小さい。
石英リング155は、プラズマシールド154及び石英管152の上に配置されている。石英リング155の下面には環状凹部156が形成され、石英管152の段付き上面157と係合する。石英リング155の下面の半径方向内側部分158aは、(例えば、石英リング155の上面からさらに延びており)、石英リング155の下面の半径方向外側部分158bよりも下方に位置している。石英リング155の半径方向外側の上角部159は丸みを帯びているが、他の構成も考えられる。上角部159とは反対側の、石英リング155の半径方向内側の上角部には段付き面160が形成されている。段付き面160の下部192はエッジリング161と係合する。
エッジリング161は、平坦な上面162aと平坦な下面162bとを備え、両面は互いにほぼ平行である。エッジリング161は、段付き面160と係合することに加えて、その平坦な下面162bで支持リング145の半径方向外側部分147の上面とも係合する。処理の間、スライドリング149の上端部194又はリフトピン150の上端部196も、エッジリング161の平坦な下面162bと係合して、エッジリング161を石英リング155及び支持リング145から持ち上げてもよい。エッジリング161の高さを上げて、基板143の半径方向外側縁部の近傍にあるプラズマシースを調整してもよく、例えば、こうすることでエッジリング161の腐食を補償することになる。一例では、エッジリング161を、最大約2ミリメートル(mm)の高さだけ持ち上げることができる。しかし、ある程度の時間が経過すると、エッジリング161はさらに腐食して、エッジリング161の交換が望ましい状況になる可能性がある。本開示の態様では、エッジリング161の取り外し及び交換がポート108を通過させて容易に行われ、エッジリング161を交換するために処理チャンバ107を分解する必要はない。
図1Bに示すように、エッジリング161の内縁部170によって画定される内径は、支持リング145の外縁部193によって画定される外径よりも小さい。エッジリング161の外縁部171によって画定される外径の方は、支持リング145の外縁部193によって画定される外径よりも大きい。さらに、エッジリング161の外縁部171によって画定される外径は、スライドリング149の外縁部184によって画定される外径よりも大きい。
エッジリング161を取り外すために、図1C及び図1Dを参照すると、エッジリング161はリフトピン150によってポート108よりも高い位置まで持ち上げられ、キャリア113(図1Dに仮想線で示す)を受け入れるための隙間がエッジリング161の下に設けられる。キャリアは、ロボットブレード、エンドエフェクタ等によってエッジリング161の下に位置決め配置される(図が込み入ることを避けるため、図1Dには図示せず)。
図1Eを参照する。キャリア113がエッジリング161の下に(例えば、基板支持体140の上に、基板支持体140と中心の位置を合わせて)位置決め配置されると、複数のリフトピン163が垂直上方に動いて、キャリア113をロボットブレードから持ち上げる(図が込み入ることを避けるため、図1Eには図示せず)。キャリア113が持ち上げられると、ロボットブレードは処理チャンバ107から取り出される。リフトピン163はリフトピン150の半径方向内側に配置されている。一例では、3本のリフトピン163を利用してキャリア113と係合する。
キャリア113がリフトピン163上に支持された状態で、リフトピン150が下降してエッジリング161をキャリア113上に位置決め配置する。図1Fはこの状態を示している。リフトピン150は、基板支持体140の中へ下降し続けるが、エッジリング161はキャリア113上に留まったままである。図1Gはこの状態を示している。リフトピン150が基板支持体に埋め込まれた状態で、リフトピン163を上昇させてキャリア113及びエッジリング161を持ち上げる。図1Hはこの状態を示している。リフトピン163を十分な高さまで上昇させることで、ロボットブレード164は処理チャンバ107内へ進入できるようになる。図1Hはこの状態を示している。ロボットブレード164が、キャリア113及びエッジリング161の下に位置決め配置されて、ロボットブレード164の上にキャリア113を載せることが容易になる。
ロボットブレード164がキャリア113の下に位置決め配置されると、リフトピン163は降下してキャリア113がロボットブレード164の上に載る。図1Iこの状態を示している。リフトピン163がさらに下方へ移動することで、ロボットブレード164とリフトピン163が干渉することがなくなる。リフトピン163がロボットブレード164から離れると、ロボットブレード164を、キャリア113、エッジリング161、及びオプションのロボットリスト549と共に、処理チャンバ107からポート108を通していつでも取り出せる。図1Jはこの状態を示している。その後、キャリア113は、ロボットブレード164の上に載ってポート108を通って移動し、図6の例に従って搬送される。新しいエッジリング161は、逆の操作によって処理チャンバ107内に導入できると考えられる。
図1A−1Jは、エッジリング取り外しプロセスの一例を示している。しかし、他の例も考えられる。例えば、図1Eを参照すると、エッジリング161をキャリア113上にまで下げるが、この時、キャリア113をロボットブレード上に支持しておくことが考えられる。その後、リフトピン150が下降して隙間が確保され、キャリア113及びエッジリング161を上に載せたロボットは、ポート108を通ってチャンバから取り出されてもよい。このような例では、キャリアを最初にリフトピン163に受け渡す必要はない。
本明細書の態様は、特定の材料で形成されたチャンバ機械設備又はプロセス構成部品に限定されない。例えば、エッジリング161、支持リング145、及びスライドリング149、並びにライナ153は、石英、窒化ケイ素、窒化アルミニウム、グラファイト、炭化ケイ素、又は他のセラミック材料を含む1つ以上の材料から形成され得ると考えられる。一例では、エッジリング161及び支持リング145は炭化ケイ素で形成されている。他の材料も考えられる。
図1Kは、本開示の一態様による、図1A−1Jに示されたスライドリング149の平面図である。スライドリング149は、内径187及び外径188を有する本体186によって画定された中央開口部185を有する円形構成部品である。1つ以上の開口部151(図には3つの開口部が示されている)が本体186に、又は本体186を貫通して、形成されて、リフトピン150(図1Bに示す)をその中に収容する。開口部151は、中央開口部185と軸を合わせて並んでいる。図示の例では、開口部151は、中央開口部185の軸と平行な軸を有する。
図1Bに戻って参照する。プラズマシースは、本明細書の態様により調整可能である。例えば、処理中に、エッジリング161が腐食する可能性がある。この腐食は、プラズマシースの平面性に影響を及ぼし、特に基板143の半径方向外側の縁部(例えば、エッジリング161近傍の上方)において顕著である。腐食を補うために、スライドリング149を作動させることによって、エッジリング161を選択された高さまで上昇させることができる。
プラズマシースの可同調性をさらに高めるために、エッジリング161を処理チャンバ107のRF電源に接続してもよい。一例では、エッジリング161は、第1の経路CRF1及び第2の経路CRF2を介してRF電源に接続されている。第1の経路CRF1は、静電チャックベース141からパック142及び支持リング145を介して接続されている。他方、第2の経路CRF2は、静電チャックベース141からスライドリング149を介して接続されている。このような例では、スライドリング149をアルミニウムのような金属の導電性材料から形成し、第2の経路CRF2を介した一定又はほぼ一定のRF接続を促進できる。第2の経路CRF2を介した一定のRF接続により、基板143の表面にわたって一定のプラズマシース厚さがもたらされる。対照的に、エッジリング161のピンベース又は非導電性スリーブベースの調整では、エッジリング161が上昇するにつれてRFデカップリングが生じることになり、このことがプラズマシースの崩壊を引き起こし、ひいてはプラズマ及び処理の不均一性が生じる可能性がある。
さらに、本開示の態様では、RF電力を選択することによって一定のRF接続がさらに促進される。このRF電力の選択では、第2の経路CRF2の静電容量をプラズマシースの静電容量よりもはるかに大きくする必要がある。第2の経路CRF2の静電容量をプラズマシースの静電容量よりもはるかに大きい静電容量に維持することによって、RF接続が維持され、処理中のプラズマシースは均一になる。さらに、第2の経路CRFの静電容量がプラズマシースの静電容量よりもはるかに大きい静電容量に維持されている時には、エッジリング161の電圧は一定のままである。第2の経路CRF2に沿って所望の静電容量が容易に得られるように、静電チャックベース141の半径方向外側の縁部197とスライドリング149の半径方向内側の縁部198との間の隙間の幅を選択して、静電容量を調整してもよい。相対する構成部品の内部又は構成部品の間に存在する他の隙間も調整を行うことで、静電容量及び/又はRF経路をさらに調整することができる。図1A−1K、2A、2B、3A−3C、4A、4B、5A、5B、6には、ある種の構成部品間に隙間が示されているが、いくつかの実施形態では、隙間をなくして、1つ以上の構成部品を互いに接触させてもよいと考えられる。さらに、図1A−1K、2A、2B、3A−3C、4A、4B、5A、5B、6には、ある種の構成部品が互いに接触して示されているが、そのような構成部品にも互いの間に隙間があってもよいと考えられる。例えば、隙間を設けて、粒子生成の可能性を防止又は低減する、構成部品の移動を可能にする、又は移動中の構成部品間の摩擦を低減することが可能である。所望の静電容量及びRF接続がさらに容易に得られるように、エッジリング161の電気抵抗率を、50Ω・cm未満、例えば約1Ω・cmから約40Ω・cmにしてもよい。そのような例では、エッジリング161を、シリコン又は炭化ケイ素から形成することができる。
図に示されていないが、処理チャンバ107は、1つ以上のガス拡散器、プラズマ発生器、又は他の構成部品(図示せず)も備えて、基板処理を容易にすることが企図される。この目的を達成するため、特に明記しない限り、基板支持体140が制限を受けて特定のチャンバ構成部品と共に使用されることには、ならない。
図2A及び図2Bは、本開示の別の態様による、リング取り外し操作中の基板支持体240の概略部分図である。基板支持体240は基板支持体140(図1Bに示す)と同様である。しかし、基板支持体240は、エッジリング261に加え、エッジリング261と同時に、支持リング245の取り外しも可能に構成されている。
支持リング245とエッジリング261の両方の取り外しを容易にするために、支持リング245は半径方向外側に延長されて、リフトピン150(1本が示されている)の上方の位置まで達している。従って、リフトピン150は上昇すると、支持リング245の下面265aと係合する。リフトピン150を続けて垂直方向に移動させると、支持リング245と、支持リング245上に位置決め配置されたエッジリング261とが上昇する。支持リング245及びエッジリング261がパック142の上の十分な高さにまで上昇すると、キャリア113の位置が合わせられて、支持リング245及びエッジリング261を受ける。図2Bはこの状態を示している。支持リング245、及びその上に位置決め配置されたエッジリング261は、キャリア113上に載せられて、その後、キャリア113は処理チャンバから取り出される。これは、図1E−1Jに関して行った説明と同様である。
支持リング245の配置を変えることなく、処理中のプラズマシースの調整を容易にするために、スライドリング249の位置を合わせて、エッジリング261を持ち上げてもよい。図2Aはこの状態を示している。スライドリング249は本体286を有する。スライドリング249は、上述のスライドリング149と同様であるが、延長部266を備える。延長部266は、スライドリング249の上方端部に位置決め配置されて、スライドリング249の本体286から半径方向外側に延びる。延長部266は、水平部267aと垂直部267bとを備える。水平部分267aは、スライドリング249の本体286から半径方向外側に延びる。垂直部267bは水平部267aから上方に延びる。垂直部267bはまた、スライドリング249の本体286と軸を合わせて並んでいる。図2Aはこの状態を示している。図示の例では、垂直部267bは、スライドリング249の本体286の軸と平行な軸を有している。スライドリング249が作動すると、延長部266はエッジリング261の下面262bと接触して、エッジリング261を容易に上昇させる。スライドリング249が最下位置にあるとき、垂直方向の隙間268が、支持リング145の下面265aとスライドリング249の上端部294との間に存在する。垂直方向の隙間268があるおかげで、スライドリング249は支持リング245に接触することなく、いくらか垂直方向に移動することができる。一例では、垂直方向の隙間268は約2mmよりも大きい。図2Aに示すように、支持リング245の外縁部293によって画定される外径は、スライドリング249の内縁部283によって画定される内径よりも大きくてもよい。垂直部267bの内縁部295によって画定される内径は、支持リング245の外縁部293によって画定される外径よりも大きくてもよい。また、垂直部267bの外縁部297によって画定される外径は、エッジリング261の外縁部271によって画定される外径よりも小さくてもよい。スライドリング249の延長部266を収容するために、石英リング255を利用することが考えられる。石英リング255は石英リング155(図1Bに示す)と同様であるが、石英リング255の方は内径が大きくなっている。従って、環状凹部156の半径方向内側に位置する部分269は、石英リング155の対応する部分と比較して幅Wが減少している。
図3A−3Cは、本開示の別の態様による、リング取り外し操作中の基板支持体340の概略部分図である。基板支持体340は基板支持体240と同様であるが、エッジリング361及び支持リング345を独立して取り外し及び/又は取り付けできるようになっている。スライドリング349は、スライドリング349に形成された1つ以上の開口部351を備える。エッジリング361及び支持リング345の独立した取り外し及び/又は取り付けが容易になるように、支持リング345にはその中に複数の開口部370が形成されている。各開口部370は、それぞれのリフトピン350と一直線に並んで、リフトピン350がそこを貫通できてもよい。リフトピン350は、上述のリフトピン150と同様である。しかしながら、リフトピン350は、第1の直径を有する下部371aと、第1の直径よりも小さい第2の直径を有する上部371bとを備える。第1の直径は開口部370の直径よりも小さい。他方で、第2の直径は開口部370の直径よりも大きい。従って、上部371bは開口部370の内部に収容され得るが、下部371aは収容され得ない。第1の直径は、肩部372で第2の直径に変化する。図3Aに示すように、支持リング345に形成された開口部370の各々は、スライドリング349に形成された開口部351のうちの1つと一直線に並んでもよい。
図3B及び図3Cを参照する。エッジリング361は支持リング345から独立して取り外すことができる。独立して取り外すことが有利になるのは、支持リング345よりも早く腐食するエッジリング361を利用する時である。従って、まだ耐用年数が残っている支持リング345を、腐食したエッジリング361を取り外す時には、取り外す及び/又は交換する必要もない。従って、時間と材料を節約できる。
図3Bは、エッジリング361の取り外しを概略的に示している。エッジリング361を取り外すには、リフトピン350を上方に作動させて、支持リング345の開口部370を通し、エッジリング361を基板支持体340から持ち上げる。図示の例では、エッジリング361を動かしても、支持リング345は動かない。リフトピン350が第1の高さまで上昇すると、ロボットブレード(図3Bには図示せず)とエッジリング361の下のキャリア313のために隙間が用意される。キャリア313はキャリア113と同様であるが、その周囲に多段面375を備える。多段面375は、その上にエッジリング361を支持するための第1の段付き面376aと、その上に支持リング345を支持するための第2の段付き面376bとを備える。第1の段付き面376aの半径方向内側の側壁376cは、エッジリング361の内径にほぼ等しい外径を有してもよい。例えば、それはエッジリング361の内径の約95パーセントから約100パーセントの外径であってもよい。例えば、第1の段付き面376aの半径方向内側の側壁376cの外径は、エッジリング361の内径の約98パーセントから100パーセント、又は約99パーセントから100パーセントであってもよい。同様に、第2の段付き面376bは、支持リング345の内径にほぼ等しい外径を有する。例えば、それは支持リング345の内径の約95パーセントから100パーセント、又は約98パーセントから100パーセント、又は約99パーセントから100パーセントの外径である。
キャリア313がエッジリング361の下に位置決め配置された状態で、エッジリング361はキャリア313に持ち替えられて、処理チャンバ107から取り出される。図1E−1Jに関して行った上述の説明と同様である。キャリア313及びエッジリング361を処理チャンバ107から取り外した状態で、リフトピン350をさらに上方へ移動させる。リフトピン350の肩部372は支持リング345の下面348と係合する。リフトピン350を続けて上昇させると、支持リング345が基板支持体340から十分な高さまで上昇して、キャリア313を支持リング345と基板支持体340との間に収容する。図3Cはこの状態を示している。上部371b及び下部371aの長さを選択することで、エッジリング361及び支持リング345を処理チャンバ内の所望の位置に配置し、それでもまだ十分な可動域を確保することが可能だと考えられる。支持リング345が持ち上げられると、キャリア313は、基板支持体340の上方に位置決め配置され、その段付き面376bで支持リング345を受ける。キャリア313及び支持リング345は処理チャンバ107から取り出される。図1E−図1Jに関して行った上述の説明と同様である。
図4Aは、本開示の一態様による、キャリア113の概略平面図である。図4Bは、図4Aのキャリア113の概略底面図である。キャリア113は不完全円形プレート416であり、この不完全円形プレートは2つの平行な縁部414a、414bと、これら2つの平行な縁部414a、414bを接続する2つの対向する曲線状の縁部415a、415bとによって画定される周囲を有する。曲線状の縁部415a、415bは、その上に位置決め配置されるエッジリングの横方向支持を容易にする。他方、2つの平行な縁部414a、414bは、もともと内部にキャリア113を収容するように設計されていない処理チャンバ内にキャリア113を収容することを可能にする。例えば、2つの平行な縁部414a、414bがあることで、処理チャンバ内でのリフトピン(リフトピン150等)の作動は容易になり、キャリア113が処理チャンバ内に置かれていてもキャリア113と干渉することはない。キャリア113はまた、第1の円弧状支持構造430a及び第2の円弧状支持構造430bを備える。
不完全円形プレート416は、中央開口部417と、中央開口部417の周りに同心円状に配置された1つ以上の不完全環状開口部418a(3つが示されている)とを備える。さらなる不完全環状開口部418bが、1つ以上の不完全環状開口部418aの周りに同心円状に配置されている。不完全環状開口部418a、418bは、キャリア113の軽量化を促進しており、キャリア113を、もともと半導体ウェハの重量を超える重量を取り扱うように設計されていない既存の搬送装置で使用することを可能にする。一例では、不完全円形プレート416は、炭素繊維、グラファイト、炭化ケイ素、グラファイト被覆炭化ケイ素、窒化ケイ素、酸化ケイ素、アルミナ等を含む1つ以上の材料から形成される。他の材料も考えられる。
不完全円形プレート416はまた、その中に配置された第1の複数のレセプタクル419を備える。第1の複数のレセプタクル419は、リフトピン(リフトピン163等)をその中に受けるようにサイズ設定及び構成されて、処理チャンバ内でのキャリア113の作動を容易にする。第1の複数のレセプタクル419は各々、不完全円形プレート416の中心から同じ半径方向距離に配置されている。一例では、第1の複数のレセプタクル419は、不完全環状開口部418aの半径よりも大きく、不完全環状開口部418bの半径よりも小さい半径の位置に配置される。
不完全円形プレート416はまた、その中に配置された第2の複数のレセプタクル425(3つが示されている)を備える。レセプタクル425は各々、ロボットブレード等の支持構造と係合するように構成される。支持構造によるレセプタクル425との係合は、キャリア113の搬送中にキャリア113と支持構造との間に相対的な運動が生じることを、低減するか又は防止する。例えば、支持構造は対応する雄型プラグを備えて、レセプタクル425内に受け入れてもよい。
レセプタクル419、425の各々は、金属、炭化ケイ素、グラファイト、アルミナ、窒化ケイ素、酸化ケイ素、ポリエチレンテレフタレート、又はセラミック材料のうちの1つ以上から形成され得る。他の材料も考えられる。一例では、レセプタクル419、425は、Vespel(登録商標)、Ultem(登録商標)、アセタール、PTFE等の軟質ポリマー材料、又は炭化ケイ素等のセラミック材料から形成されて、パーティクルの発生を低減する。
図5Aは、エッジリング410を載せて支持するキャリア113の概略平面図である。図5Bは、図5Aの概略断面図である。図5A及び図5Bに示すように、エッジリング410は、第1の円弧状支持構造430a及び第2の円弧状支持構造430b上に配置され、かつそれらに支持されている。エッジリング410の下面432は支持面433と接触する。他方、エッジリング410の半径方向内側の縁部420は、第1の円弧状支持構造430a及び第2の円弧状支持構造430bの垂直壁434と接触する。図示の例では、エッジリング410は、第1の円弧状支持構造430a及び第2の円弧状支持構造430bの外径よりも小さい内径を有し、かつ、エッジリング410は、第1の円弧状支持構造430a及び第2の円弧状支持構造430bの外径よりも大きい外径を有する。さらに、エッジリング410の上面440は、第1の円弧状支持構造430a及び第2の円弧状支持構造430bの上面444の上方に配置されている。一例では、第1の円弧状支持構造430a及び第2の円弧状支持構造430bの一方又は両方は、平坦な表面等のフィーチャーを備えて、それによって、静電チャック又は他の基板支持体を係合させて、それらとの位置合わせを容易にしてもよい。
図4Bに示す例では、第1の円弧状支持構造430a及び第2の円弧状支持構造430b(両方とも図4Aに示されている)は各々が雄型延長部431を備えており、この雄型延長部431は不完全円形プレート416を貫通して形成された開口部(図4Bには図示せず)と係合する。一例では、開口部及び雄型延長部431、並びに第1の円弧状支持構造430a及び第2の円弧状支持構造430bは、レセプタクル425の半径方向外側に位置決め配置されている。第1の円弧状支持構造430a及び第2の円弧状支持構造430bの各々は、曲率半径を有してもよい。この曲率半径は、曲線状の縁部415a、415bの曲率半径にほぼ等しい。
図6は、本開示の一態様による処理システム600を示す。処理システム600は工場インターフェース601を備え、基板を処理システム600内に搬送するために、この工場インターフェース601に複数のカセット602を結合することができる。処理システム600はまた、第1の真空ポート603a、603bを備えており、第1の真空ポート603a、603bは工場インターフェース601をそれぞれの脱気チャンバ604a、604bに結合している。第2の真空ポート605a、605bはそれぞれの脱気チャンバ604a、604bに結合され、脱気チャンバ604a、604bと搬送チャンバ606との間に配置されており、搬送チャンバ606内への基板の搬送が容易になっている。搬送チャンバ606は、自身の周囲に配置され、かつ自身に結合された複数の処理チャンバ607を備える。処理チャンバ607は、スリットバルブ等のそれぞれのポート608を介して搬送チャンバ606に結合されている。コントローラ609は処理システム600の様々な態様を制御する。
図6は、エッジリング610の処理チャンバ607内への搬送を概略的に示す。本開示の一態様によれば、エッジリング610は複数のカセット602のうちの1つから、工場インターフェース601に配置された工場インターフェースロボット611によって取り出されるか、又は択一的に、工場インターフェース601に直接載せられる。工場インターフェースロボット611は、エッジリング611を第1の真空ポート603a、603bのうちの1つを通してそれぞれの脱気チャンバ604a、604bの中に搬送する。搬送チャンバ606内に配置された搬送チャンバロボット612は、脱気チャンバ604a、604bのうちの1つから第2の真空ポート605a又は605bを通してエッジリング610を取り出す。搬送チャンバロボット612は、エッジリング610を搬送チャンバ606内に移動させ、そこからエッジリング610は所望の処理チャンバ607へそれぞれのポート608を介して搬送されてもよい。図が込み入ることを避けるため、図6には示されていないが、エッジリング610の搬送は、エッジリング610がキャリア113上に位置決め配置されている間に行われる。処理チャンバ607からのエッジリング610の取り出しは逆の順序で行われる。
図6はエッジリングの搬送の一例を示しているが、他の例も考えられる。例えば、エッジリング610を搬送チャンバ606内に手動で装填してもよいと考えられる。搬送チャンバ606からは、エッジリング610を搬送チャンバロボット612によって処理チャンバ607内に装填してもよい。追加的又は代替的に、エッジリングを支持ユニットに装填してもよい。さらなる支持ユニットが配置されて、図示された支持ユニットとは反対側の工場インターフェース601と通信を行ってもよい。2つの支持ユニット又は複数のカセット602を利用する場合には、1つのSSP又はカセット602を未処理のエッジリング610のために使用し、他方、もう1つの支持ユニット又はカセット602を処理済みリング610を受け入れるために使用してもよい。本明細書に記載の利点には、チャンバを分解することなくチャンバ機械設備を取り外すことが含まれる。その結果、予防保守を実行しても、休止時間を短縮できる。
図6は処理システム600によるエッジリング610の搬送を示しているが、プロセスリング、支持リング等の他の消耗部品も同様の方法で搬送してもよいと考えられる。
本開示の一例では、方法は、複数のリフトピンを垂直上方に作動させる工程であって、各リフトピンは、その上部に第1の直径を有し、その下部に第1の直径よりも大きい第2の直径を有しており、作動させる工程は、リフトピンの上部を、支持リングの対応する開口部を通させることを含んでいる工程と、支持リング上に位置決め配置されたエッジリングを垂直方向に作動させる工程と、エッジリングをキャリアに受け渡す工程と、エッジリングを処理チャンバから取り出す工程とを含む。別の例では、この方法はさらに、エッジリングが処理チャンバから取り出された後で、リフトピンをさらに上方に作動させて、支持リングを第2の直径を有するリフトピンの下部と係合させる工程を含んでもよい。
別の例では、この方法はさらに、リフトピンの下部で支持リングを持ち上げる工程と、支持リングを空荷のキャリア上に配置する工程を含んでもよい。この方法のさらに別の例では、エッジリングを垂直方向に作動させても、支持リングは動かないでいられる。
上記は本開示の実施形態を対象としているが、本開示の他の及び更なる実施形態を、その基本的な範囲から逸脱することなく考案することができ、その範囲は以下の特許請求の範囲に基づいて定められる。

Claims (15)

  1. 基板支持体用のプロセスキットであって、
    内径及び外径によって画定される本体を有するスライドリングであって、前記本体には1つ以上の開口部が貫通形成され、前記1つ以上の開口部の各々は、前記本体の中央開口部の軸と平行な軸を有するスライドリングと、
    半径方向外側部分より上に半径方向内側部分が上がっている段付き上面を有する支持リングであって、前記スライドリングの前記本体の前記内径よりも小さい外径を有する支持リングと、
    平坦な上面と平坦な下面を有するエッジリングであって、
    前記支持リングの前記外径よりも小さい内径と、
    前記支持リングの前記外径よりも大きい外径とを有するエッジリングとを備えるプロセスキット。
  2. 前記エッジリングの前記外径が前記スライドリングの前記本体の前記外径よりも大きい、請求項1記載のプロセスキット。
  3. 前記スライドリングの前記本体に形成された前記1つ以上の開口部は少なくとも3つの開口部から成る、請求項1記載のプロセスキット。
  4. 前記スライドリングはさらに、前記本体の上端部に水平部を備え、前記水平部は前記本体から半径方向外側に延びる、請求項1記載のプロセスキット。
  5. 前記支持リングの前記外径は前記スライドリングの前記本体の前記内径よりも大きく、前記スライドリングはさらに、前記水平部から延びる垂直部を備え、前記垂直部の軸は前記本体の軸と平行である、請求項4記載のプロセスキット。
  6. 前記垂直部の内径は、前記支持リングの前記外径よりも大きい、請求項5記載のプロセスキット。
  7. 前記垂直部の外径は、前記エッジリングの前記外径よりも小さい、請求項6記載のプロセスキット。
  8. 基板支持体用のプロセスキットであって、
    内径及び外径によって画定される本体を有するスライドリングであって、前記本体には1つ以上の開口部が貫通形成され、前記1つ以上の開口部の各々は、前記本体の中央開口部の軸と平行な軸を有するスライドリングと、
    半径方向外側部分より上に半径方向内側部分が上がっている段付き上面を有する支持リングであって、前記スライドリングの前記本体の前記内径よりも大きい外径と、前記支持リングを貫通して形成される1つ以上の開口部であって、前記支持リングを貫通して形成された前記1つ以上の開口部の各々は、前記スライドリングの前記本体を貫通して形成された前記1つ以上の開口部のうちの1つと一直線に並ぶ1つ以上の開口部とを有する支持リングと、
    平坦な上面及び平坦な下面を有するエッジリングであって、
    前記支持リングの前記外径よりも小さい内径と、
    前記支持リングの前記外径よりも大きい外径とを有するエッジリングとを備えるプロセスキット。
  9. 基板支持体であって、
    静電チャックベースと、
    前記静電チャックベース上に位置決め配置されたパックと、
    前記基板支持体用のプロセスキットであって、
    前記静電チャックベースの周りに位置決め配置され、内径及び外径によって画定された本体を有するスライドリングであって、前記本体には1つ以上の開口部が貫通形成され、前記1つ以上の開口部の各々は、前記本体の中央開口部の軸と平行な軸を有するスライドリングと、
    半径方向外側部分より上に半径方向内側部分が上がっている段付き上面を有する支持リングであって、前記スライドリングの前記本体の前記内径よりも小さい外径を有する支持リングと、
    平坦な上面と平坦な下面を有するエッジリングであって、
    前記支持リングの前記外径よりも小さい内径と、
    前記支持リングの前記外径よりも大きい外径とを有するエッジリングとを備えるプロセスキットとを備える基板支持体。
  10. 前記エッジリングの前記外径が前記スライドリングの前記本体の前記外径よりも大きい、請求項9記載の基板支持体。
  11. 前記スライドリングの前記本体に形成された前記1つ以上の開口部は、少なくとも3つの開口部から成る、請求項9記載の基板支持体。
  12. 前記支持リングの前記外径が前記スライドリングの前記本体の前記内径よりも大きく、前記スライドリングはさらに、
    前記本体の上端部にある水平部であって、前記本体から半径方向外側に延びる水平部と、
    前記水平部から延びる垂直部であって、前記垂直部の軸は、前記本体の軸と平行である垂直部とを備える請求項9記載の基板支持体。
  13. 前記垂直部の内径が前記支持リングの前記外径より大きい、請求項12記載の基板支持体。
  14. 前記垂直部の外径は、前記エッジリングの前記外径よりも小さい、請求項13記載の基板支持体。
  15. 前記支持リングの前記外径は前記スライドリングの前記本体の前記内径よりも大きく、前記支持リングは、貫通形成された1つ以上の開口部を備え、前記1つ以上の開口部の各々は、前記スライドリングの前記本体を貫通して形成された前記1つ以上の開口部のうちの1つと一直線に並ぶ請求項9記載の基板支持体。
JP2018238523A 2017-12-21 2018-12-20 移動可能及び取り外し可能なプロセスキット Active JP7297440B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201762609044P 2017-12-21 2017-12-21
US62/609,044 2017-12-21

Publications (2)

Publication Number Publication Date
JP2019114790A true JP2019114790A (ja) 2019-07-11
JP7297440B2 JP7297440B2 (ja) 2023-06-26

Family

ID=67006508

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2018238523A Active JP7297440B2 (ja) 2017-12-21 2018-12-20 移動可能及び取り外し可能なプロセスキット

Country Status (5)

Country Link
US (1) US11043400B2 (ja)
JP (1) JP7297440B2 (ja)
KR (1) KR20190075849A (ja)
CN (3) CN109950193A (ja)
TW (2) TWI795495B (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200086626A (ko) * 2019-01-09 2020-07-17 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치 및 플라즈마 처리 장치의 탑재대
JP2021068752A (ja) * 2019-10-18 2021-04-30 東京エレクトロン株式会社 基板処理システム及びエッジリングを交換する方法
WO2021173498A1 (en) * 2020-02-24 2021-09-02 Lam Research Corporation Semiconductor processing chamber with dual-lift mechanism for edge ring elevation management
JP2022022815A (ja) * 2020-07-07 2022-02-07 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理装置の載置台
JPWO2022172827A1 (ja) * 2021-02-09 2022-08-18
JP7465733B2 (ja) 2019-09-26 2024-04-11 東京エレクトロン株式会社 基板支持器及びプラズマ処理装置

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108369922B (zh) 2016-01-26 2023-03-21 应用材料公司 晶片边缘环升降解决方案
US11075105B2 (en) 2017-09-21 2021-07-27 Applied Materials, Inc. In-situ apparatus for semiconductor process module
US11043400B2 (en) * 2017-12-21 2021-06-22 Applied Materials, Inc. Movable and removable process kit
US11201037B2 (en) 2018-05-28 2021-12-14 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
US11935773B2 (en) 2018-06-14 2024-03-19 Applied Materials, Inc. Calibration jig and calibration method
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
KR20200112447A (ko) * 2019-03-22 2020-10-05 삼성전자주식회사 에지 링을 갖는 기판 처리 장치
JP7321026B2 (ja) * 2019-08-02 2023-08-04 東京エレクトロン株式会社 エッジリング、載置台、基板処理装置及び基板処理方法
JP2021027305A (ja) * 2019-08-09 2021-02-22 株式会社ディスコ プラズマエッチング装置
CN112542415B (zh) * 2019-09-20 2022-12-02 夏泰鑫半导体(青岛)有限公司 晶圆处理装置及半导体加工站
CN112563186A (zh) * 2019-09-26 2021-03-26 东京毅力科创株式会社 基片支承器和等离子体处理装置
KR20210042749A (ko) * 2019-10-10 2021-04-20 삼성전자주식회사 정전 척 및 상기 정전 척을 포함하는 기판 처리 장치
CN112713075B (zh) * 2019-10-25 2024-03-12 中微半导体设备(上海)股份有限公司 等离子体隔离环、等离子体处理装置与基片处理方法
TW202137326A (zh) * 2020-03-03 2021-10-01 日商東京威力科創股份有限公司 基板支持台、電漿處理系統及環狀構件之安裝方法
JP2021150424A (ja) * 2020-03-18 2021-09-27 キオクシア株式会社 エッジリング及びプラズマ処理装置
TW202213428A (zh) * 2020-06-05 2022-04-01 日商東京威力科創股份有限公司 電漿處理裝置
CN114188205A (zh) * 2020-09-14 2022-03-15 中微半导体设备(上海)股份有限公司 一种静电装置、其所在的基片处理系统及其置换清洁方法
TWI745240B (zh) * 2021-02-22 2021-11-01 天虹科技股份有限公司 晶圓承載固定裝置及應用該晶圓承載固定裝置的薄膜沉積設備
CN114121583B (zh) * 2021-11-17 2024-03-29 长江存储科技有限责任公司 边缘刻蚀装置及晶圆处理方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012146742A (ja) * 2011-01-07 2012-08-02 Tokyo Electron Ltd フォーカスリング及び該フォーカスリングを備える基板処理装置
JP2015050156A (ja) * 2013-09-04 2015-03-16 東京エレクトロン株式会社 基板載置台及びプラズマ処理装置
US20170213758A1 (en) * 2016-01-26 2017-07-27 Applied Materials, Inc. Wafer edge ring lifting solution

Family Cites Families (110)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5267607A (en) 1991-05-28 1993-12-07 Tokyo Electron Limited Substrate processing apparatus
JP2638443B2 (ja) 1993-08-31 1997-08-06 日本電気株式会社 ドライエッチング方法およびドライエッチング装置
US5730801A (en) 1994-08-23 1998-03-24 Applied Materials, Inc. Compartnetalized substrate processing chamber
US5762714A (en) 1994-10-18 1998-06-09 Applied Materials, Inc. Plasma guard for chamber equipped with electrostatic chuck
JP2713276B2 (ja) 1995-12-07 1998-02-16 日本電気株式会社 半導体装置の製造装置およびこれを用いた半導体装置の製造方法
US5885428A (en) * 1996-12-04 1999-03-23 Applied Materials, Inc. Method and apparatus for both mechanically and electrostatically clamping a wafer to a pedestal within a semiconductor wafer processing system
US5851140A (en) 1997-02-13 1998-12-22 Integrated Process Equipment Corp. Semiconductor wafer polishing apparatus with a flexible carrier plate
DE59812627D1 (de) * 1997-12-23 2005-04-07 Unaxis Balzers Ag Haltevorrichtung
US6773562B1 (en) 1998-02-20 2004-08-10 Applied Materials, Inc. Shadow frame for substrate processing
KR20000030944A (ko) * 1998-10-20 2000-06-05 윤종용 스퍼터 공정에 사용되는 리프트 후프
JP3234576B2 (ja) * 1998-10-30 2001-12-04 アプライド マテリアルズ インコーポレイテッド 半導体製造装置におけるウェハ支持装置
US6022809A (en) 1998-12-03 2000-02-08 Taiwan Semiconductor Manufacturing Company, Ltd. Composite shadow ring for an etch chamber and method of using
US6709547B1 (en) 1999-06-30 2004-03-23 Lam Research Corporation Moveable barrier for multiple etch processes
US6206976B1 (en) 1999-08-27 2001-03-27 Lucent Technologies Inc. Deposition apparatus and related method with controllable edge exclusion
US6375748B1 (en) 1999-09-01 2002-04-23 Applied Materials, Inc. Method and apparatus for preventing edge deposition
US6589352B1 (en) 1999-12-10 2003-07-08 Applied Materials, Inc. Self aligning non contact shadow ring process kit
TW506234B (en) 2000-09-18 2002-10-11 Tokyo Electron Ltd Tunable focus ring for plasma processing
US6391787B1 (en) 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
US7138014B2 (en) 2002-01-28 2006-11-21 Applied Materials, Inc. Electroless deposition apparatus
US6744212B2 (en) 2002-02-14 2004-06-01 Lam Research Corporation Plasma processing apparatus and method for confining an RF plasma under very high gas flow and RF power density conditions
US6776849B2 (en) 2002-03-15 2004-08-17 Asm America, Inc. Wafer holder with peripheral lift ring
US7232591B2 (en) * 2002-04-09 2007-06-19 Matsushita Electric Industrial Co., Ltd. Method of using an adhesive for temperature control during plasma processing
US6896765B2 (en) 2002-09-18 2005-05-24 Lam Research Corporation Method and apparatus for the compensation of edge ring wear in a plasma processing chamber
US7252738B2 (en) 2002-09-20 2007-08-07 Lam Research Corporation Apparatus for reducing polymer deposition on a substrate and substrate support
US7311784B2 (en) 2002-11-26 2007-12-25 Tokyo Electron Limited Plasma processing device
US6898558B2 (en) 2002-12-31 2005-05-24 Tokyo Electron Limited Method and apparatus for monitoring a material processing system
US20040261946A1 (en) 2003-04-24 2004-12-30 Tokyo Electron Limited Plasma processing apparatus, focus ring, and susceptor
KR100578129B1 (ko) 2003-09-19 2006-05-10 삼성전자주식회사 플라즈마 식각 장치
US7244336B2 (en) 2003-12-17 2007-07-17 Lam Research Corporation Temperature controlled hot edge ring assembly for reducing plasma reactor etch rate drift
US7338578B2 (en) 2004-01-20 2008-03-04 Taiwan Semiconductor Manufacturing Co., Ltd. Step edge insert ring for etch chamber
US20050263070A1 (en) 2004-05-25 2005-12-01 Tokyo Electron Limited Pressure control and plasma confinement in a plasma processing chamber
US7138067B2 (en) 2004-09-27 2006-11-21 Lam Research Corporation Methods and apparatus for tuning a set of plasma processing steps
KR100964775B1 (ko) 2005-10-12 2010-06-21 파나소닉 주식회사 플라즈마 처리장치 및 플라즈마 처리방법
KR20080015466A (ko) 2006-01-31 2008-02-19 동경 엘렉트론 주식회사 기판 처리 장치 및 그것에 이용되는 기판 탑재대,플라즈마에 노출되는 부재
US7572737B1 (en) 2006-06-30 2009-08-11 Lam Research Corporation Apparatus and methods for adjusting an edge ring potential substrate processing
US7589950B2 (en) 2006-10-13 2009-09-15 Applied Materials, Inc. Detachable electrostatic chuck having sealing assembly
CN101583736A (zh) 2007-01-19 2009-11-18 应用材料股份有限公司 浸没式等离子体室
US7968469B2 (en) 2007-01-30 2011-06-28 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor with variable height ground return path to control plasma ion density uniformity
JP5317424B2 (ja) 2007-03-28 2013-10-16 東京エレクトロン株式会社 プラズマ処理装置
US20080289766A1 (en) 2007-05-22 2008-11-27 Samsung Austin Semiconductor Lp Hot edge ring apparatus and method for increased etch rate uniformity and reduced polymer buildup
US7824146B2 (en) 2007-09-07 2010-11-02 Advanced Technology Development Facility Automated systems and methods for adapting semiconductor fabrication tools to process wafers of different diameters
US8999106B2 (en) 2007-12-19 2015-04-07 Applied Materials, Inc. Apparatus and method for controlling edge performance in an inductively coupled plasma chamber
US20090260982A1 (en) 2008-04-16 2009-10-22 Applied Materials, Inc. Wafer processing deposition shielding components
US8398777B2 (en) 2008-05-02 2013-03-19 Applied Materials, Inc. System and method for pedestal adjustment
KR20100043844A (ko) * 2008-10-21 2010-04-29 주식회사 테스 플라즈마 처리 장치
US20100101729A1 (en) 2008-10-28 2010-04-29 Applied Materials, Inc. Process kit having reduced erosion sensitivity
JP5406067B2 (ja) 2009-02-16 2014-02-05 キヤノンアネルバ株式会社 トレイ及び真空処理装置
US9435029B2 (en) 2010-08-29 2016-09-06 Advanced Energy Industries, Inc. Wafer chucking system for advanced plasma ion energy processing systems
CN102550130A (zh) 2009-08-31 2012-07-04 朗姆研究公司 用于执行等离子体约束的多外围环装置
US8270141B2 (en) 2009-11-20 2012-09-18 Applied Materials, Inc. Electrostatic chuck with reduced arcing
JP5584517B2 (ja) 2010-05-12 2014-09-03 東京エレクトロン株式会社 プラズマ処理装置及び半導体装置の製造方法
JP5654297B2 (ja) 2010-09-14 2015-01-14 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US8633423B2 (en) 2010-10-14 2014-01-21 Applied Materials, Inc. Methods and apparatus for controlling substrate temperature in a process chamber
KR20120048879A (ko) * 2010-11-08 2012-05-16 주식회사 케이씨텍 클램프 링을 구비하는 세미배치 타입 원자층 증착장치
JP6001529B2 (ja) 2011-03-29 2016-10-05 東京エレクトロン株式会社 プラズマエッチング装置及びプラズマエッチング方法
KR101744668B1 (ko) 2011-05-31 2017-06-08 어플라이드 머티어리얼스, 인코포레이티드 유도성 커플링된 플라즈마(icp) 반응기를 위한 동적인 이온 라디칼 시브 및 이온 라디칼 개구
JP5948026B2 (ja) 2011-08-17 2016-07-06 東京エレクトロン株式会社 半導体製造装置及び処理方法
KR101267459B1 (ko) 2011-09-08 2013-05-31 한국과학기술연구원 플라즈마 이온주입 장치 및 방법
US8933628B2 (en) 2011-10-28 2015-01-13 Applied Materials, Inc. Inductively coupled plasma source with phase control
US8988848B2 (en) 2011-12-15 2015-03-24 Applied Materials, Inc. Extended and independent RF powered cathode substrate for extreme edge tunability
JP5906429B2 (ja) * 2013-02-21 2016-04-20 パナソニックIpマネジメント株式会社 プラズマ処理装置及びプラズマ処理方法
US9287147B2 (en) 2013-03-14 2016-03-15 Applied Materials, Inc. Substrate support with advanced edge control provisions
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
JP6689020B2 (ja) * 2013-08-21 2020-04-28 東京エレクトロン株式会社 プラズマ処理装置
CN105493262B (zh) 2013-08-30 2019-02-15 应用材料公司 基板支撑系统
WO2015099892A1 (en) 2013-12-23 2015-07-02 Applied Materials, Inc. Extreme edge and skew control in icp plasma reactor
US9410249B2 (en) 2014-05-15 2016-08-09 Infineon Technologies Ag Wafer releasing
CN105336561B (zh) 2014-07-18 2017-07-21 中微半导体设备(上海)有限公司 等离子体刻蚀装置
JP6345030B2 (ja) 2014-08-11 2018-06-20 東京エレクトロン株式会社 プラズマ処理装置及びフォーカスリング
KR20160022458A (ko) 2014-08-19 2016-03-02 삼성전자주식회사 플라즈마 장비 및 이의 동작 방법
CN105789010B (zh) 2014-12-24 2017-11-10 中微半导体设备(上海)有限公司 等离子体处理装置及等离子体分布的调节方法
US11605546B2 (en) 2015-01-16 2023-03-14 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
US20170263478A1 (en) 2015-01-16 2017-09-14 Lam Research Corporation Detection System for Tunable/Replaceable Edge Coupling Ring
US10658222B2 (en) * 2015-01-16 2020-05-19 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
JP6456177B2 (ja) 2015-02-12 2019-01-23 株式会社ディスコ ウェーハ処理システム
US10163610B2 (en) 2015-07-13 2018-12-25 Lam Research Corporation Extreme edge sheath and wafer profile tuning through edge-localized ion trajectory control and plasma operation
US9761459B2 (en) 2015-08-05 2017-09-12 Lam Research Corporation Systems and methods for reverse pulsing
US9620376B2 (en) 2015-08-19 2017-04-11 Lam Research Corporation Self limiting lateral atomic layer etch
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
US9761414B2 (en) 2015-10-08 2017-09-12 Lam Research Corporation Uniformity control circuit for use within an impedance matching circuit
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
CN108140606B (zh) 2015-10-21 2022-05-24 住友大阪水泥股份有限公司 静电卡盘装置
US10124492B2 (en) 2015-10-22 2018-11-13 Lam Research Corporation Automated replacement of consumable parts using end effectors interfacing with plasma processing system
US20170115657A1 (en) 2015-10-22 2017-04-27 Lam Research Corporation Systems for Removing and Replacing Consumable Parts from a Semiconductor Process Module in Situ
US9881820B2 (en) 2015-10-22 2018-01-30 Lam Research Corporation Front opening ring pod
US10062599B2 (en) 2015-10-22 2018-08-28 Lam Research Corporation Automated replacement of consumable parts using interfacing chambers
US10985078B2 (en) 2015-11-06 2021-04-20 Lam Research Corporation Sensor and adjuster for a consumable
US9601319B1 (en) 2016-01-07 2017-03-21 Lam Research Corporation Systems and methods for eliminating flourine residue in a substrate processing chamber using a plasma-based process
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US9966231B2 (en) 2016-02-29 2018-05-08 Lam Research Corporation Direct current pulsing plasma systems
US20170278679A1 (en) 2016-03-24 2017-09-28 Lam Research Corporation Method and apparatus for controlling process within wafer uniformity
US11011353B2 (en) 2016-03-29 2021-05-18 Lam Research Corporation Systems and methods for performing edge ring characterization
US10312121B2 (en) 2016-03-29 2019-06-04 Lam Research Corporation Systems and methods for aligning measurement device in substrate processing systems
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US9852889B1 (en) 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring
JP6635888B2 (ja) 2016-07-14 2020-01-29 東京エレクトロン株式会社 プラズマ処理システム
US20180061696A1 (en) 2016-08-23 2018-03-01 Applied Materials, Inc. Edge ring or process kit for semiconductor process module
JP2018054500A (ja) 2016-09-29 2018-04-05 東京エレクトロン株式会社 位置検出システム及び処理装置
JP6812224B2 (ja) 2016-12-08 2021-01-13 東京エレクトロン株式会社 基板処理装置及び載置台
US9947517B1 (en) 2016-12-16 2018-04-17 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US10553404B2 (en) 2017-02-01 2020-02-04 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
JP6812264B2 (ja) 2017-02-16 2021-01-13 東京エレクトロン株式会社 真空処理装置、及びメンテナンス装置
US11404249B2 (en) 2017-03-22 2022-08-02 Tokyo Electron Limited Substrate processing apparatus
JP6656200B2 (ja) 2017-04-12 2020-03-04 東京エレクトロン株式会社 位置検出システム及び処理装置
KR102535916B1 (ko) 2017-04-26 2023-05-23 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
US11075105B2 (en) 2017-09-21 2021-07-27 Applied Materials, Inc. In-situ apparatus for semiconductor process module
JP7326275B2 (ja) 2017-12-01 2023-08-15 アプライド マテリアルズ インコーポレイテッド エッチング選択性の高いアモルファスカーボン膜
US11043400B2 (en) * 2017-12-21 2021-06-22 Applied Materials, Inc. Movable and removable process kit

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012146742A (ja) * 2011-01-07 2012-08-02 Tokyo Electron Ltd フォーカスリング及び該フォーカスリングを備える基板処理装置
JP2015050156A (ja) * 2013-09-04 2015-03-16 東京エレクトロン株式会社 基板載置台及びプラズマ処理装置
US20170213758A1 (en) * 2016-01-26 2017-07-27 Applied Materials, Inc. Wafer edge ring lifting solution

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7134319B2 (ja) 2019-01-09 2022-09-09 東京エレクトロン株式会社 プラズマ処理装置
KR102538183B1 (ko) 2019-01-09 2023-05-30 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치 및 플라즈마 처리 장치의 탑재대
KR20200086626A (ko) * 2019-01-09 2020-07-17 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치 및 플라즈마 처리 장치의 탑재대
JP2021192456A (ja) * 2019-01-09 2021-12-16 東京エレクトロン株式会社 プラズマ処理装置
JP2020113603A (ja) * 2019-01-09 2020-07-27 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理装置の載置台
JP7134104B2 (ja) 2019-01-09 2022-09-09 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理装置の載置台
JP7361856B2 (ja) 2019-01-09 2023-10-16 東京エレクトロン株式会社 プラズマ処理装置
JP2022164770A (ja) * 2019-01-09 2022-10-27 東京エレクトロン株式会社 プラズマ処理装置およびリングアセンブリ
JP7465733B2 (ja) 2019-09-26 2024-04-11 東京エレクトロン株式会社 基板支持器及びプラズマ処理装置
JP7412124B2 (ja) 2019-10-18 2024-01-12 東京エレクトロン株式会社 基板処理システム及びエッジリングを交換する方法
JP2021068752A (ja) * 2019-10-18 2021-04-30 東京エレクトロン株式会社 基板処理システム及びエッジリングを交換する方法
WO2021173498A1 (en) * 2020-02-24 2021-09-02 Lam Research Corporation Semiconductor processing chamber with dual-lift mechanism for edge ring elevation management
JP2022022815A (ja) * 2020-07-07 2022-02-07 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理装置の載置台
JP7455012B2 (ja) 2020-07-07 2024-03-25 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理装置の載置台
JP7293517B2 (ja) 2021-02-09 2023-06-19 東京エレクトロン株式会社 基板処理システム及び搬送方法
KR20230044020A (ko) * 2021-02-09 2023-03-31 도쿄엘렉트론가부시키가이샤 기판 처리 시스템 및 반송 방법
WO2022172827A1 (ja) * 2021-02-09 2022-08-18 東京エレクトロン株式会社 基板処理システム及び搬送方法
KR102642683B1 (ko) 2021-02-09 2024-03-04 도쿄엘렉트론가부시키가이샤 기판 처리 시스템 및 반송 방법
JPWO2022172827A1 (ja) * 2021-02-09 2022-08-18

Also Published As

Publication number Publication date
CN210167343U (zh) 2020-03-20
CN109950193A (zh) 2019-06-28
TWI795495B (zh) 2023-03-11
TW201929086A (zh) 2019-07-16
US11043400B2 (en) 2021-06-22
JP7297440B2 (ja) 2023-06-26
TWM583125U (zh) 2019-09-01
US20200234981A1 (en) 2020-07-23
KR20190075849A (ko) 2019-07-01
CN209471945U (zh) 2019-10-08

Similar Documents

Publication Publication Date Title
JP7297440B2 (ja) 移動可能及び取り外し可能なプロセスキット
US11887879B2 (en) In-situ apparatus for semiconductor process module
KR20240065232A (ko) 플라즈마 프로세싱 시스템과 인터페이싱하는 엔드 이펙터들을 사용한 소모성 부품들의 자동화된 교체
US6435798B1 (en) Semiconductor processing apparatus with substrate-supporting mechanism
TWI795621B (zh) 電漿處理裝置及電漿處理裝置之載置台
KR101174816B1 (ko) 플라즈마 처리 장치의 포커스 링 및 이를 구비한 플라즈마 처리 장치
US7922440B2 (en) Apparatus and method for centering a substrate in a process chamber
US20150340209A1 (en) Focus ring replacement method for a plasma reactor, and associated systems and methods
JP2020053538A (ja) プラズマ処理装置
KR102591660B1 (ko) 이동가능한 에지 링 설계들
KR20200066537A (ko) 에지 링 포지셔닝 및 센터링 피처들을 포함하는 플라즈마 시스 튜닝을 위한 교체가능한 에지 링 어셈블리 및/또는 접을 수 있는 에지 링 어셈블리
US10535549B2 (en) Lift pin holder
US11101115B2 (en) Ring removal from processing chamber
KR20210036813A (ko) 기판 지지기 및 플라즈마 처리 장치
CN104733367B (zh) 起模销组合件及具有起模销组合件的衬底处理设备
US11887884B2 (en) Pre-loaded bowl mechanism for providing a symmetric radio frequency return path
CN116670811A (zh) 用于经由边缘夹持进行薄型基板操纵的沉积环
CN102683258A (zh) 卡盘结构和使用卡盘结构处理半导体基板的装置
US11664247B2 (en) Dynamic interface for providing a symmetric radio frequency return path
CN117894659A (zh) 聚焦环、包括该聚焦环的衬底处理装置及半导体制造方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20211216

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230117

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20230112

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230210

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230307

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230505

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230523

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230614

R150 Certificate of patent or registration of utility model

Ref document number: 7297440

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150