KR20190075849A - 이동가능하고 제거가능한 프로세스 키트 - Google Patents

이동가능하고 제거가능한 프로세스 키트 Download PDF

Info

Publication number
KR20190075849A
KR20190075849A KR1020180166527A KR20180166527A KR20190075849A KR 20190075849 A KR20190075849 A KR 20190075849A KR 1020180166527 A KR1020180166527 A KR 1020180166527A KR 20180166527 A KR20180166527 A KR 20180166527A KR 20190075849 A KR20190075849 A KR 20190075849A
Authority
KR
South Korea
Prior art keywords
ring
outer diameter
support
edge
edge ring
Prior art date
Application number
KR1020180166527A
Other languages
English (en)
Other versions
KR102675852B1 (ko
Inventor
안드레아스 슈미트
데니스 엠. 쿠사우
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20190075849A publication Critical patent/KR20190075849A/ko
Application granted granted Critical
Publication of KR102675852B1 publication Critical patent/KR102675852B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge clamping, e.g. clamping ring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/6875Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Automation & Control Theory (AREA)
  • Plasma & Fusion (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)
  • Farming Of Fish And Shellfish (AREA)
  • Telephone Function (AREA)
  • Input Circuits Of Receivers And Coupling Of Receivers And Audio Equipment (AREA)

Abstract

본 개시내용의 양상들은 일반적으로, 에지 링 포지션을 조정하고, 프로세스 챔버의 프로세스 키트의 하나 또는 그 초과의 컴포넌트들을 제거 또는 교체하기 위한 방법들 및 장치들에 관한 것이다. 프로세스 키트는 에지 링, 지지 링, 슬라이딩 링, 및 다른 소모성 또는 분해성 컴포넌트들 중 하나 또는 그 초과를 포함한다.

Description

이동가능하고 제거가능한 프로세스 키트{MOVABLE AND REMOVABLE PROCESS KIT}
[0001] 본 개시내용의 양상들은 일반적으로, 프로세싱 챔버들, 이를테면 반도체 프로세싱에서 사용되는 프로세싱 챔버들에서의 에지 링 및/또는 지지 링 교체를 위한 장치들 및 방법들에 관한 것이다.
[0002] 프로세싱 챔버들, 이를테면 에칭 챔버들에서, 기판들은 적소에 정전기적으로 클램핑되어 있는 동안 에칭된다. 전형적으로, 에지 링들, 프로세싱 링들 및 지지 링들 등이라고 지칭되는 하나 또는 그 초과의 원형 파트들은, 정전 척의 상부 표면이 에천트 케미스트리에 의해 에칭되는 것을 막기 위해, 또는 기판의 프로세싱을 가능하게 하기 위해, 기판의 외측 직경 주위에 위치된다. 이들 링들은 여러 상이한 재료들로 제조되고, 상이한 형상들을 가질 수 있으며, 그 상이한 재료들과 상이한 형상들 둘 모두는 기판 둘레 근처의 프로세스 균일성에 영향을 미친다. 프로세싱 동안, 시간이 흐름에 따라 이들 링들이 에칭되고, 그에 의해, 형상 변화들 뿐만 아니라 프로세싱 균일성의 변화들을 초래한다.
[0003] 열화(deterioration)로 인한 프로세싱 균일성의 변화들을 해결하기 위해, 이들 링들은 스케줄에 따라 변경된다. 종래에는, 이들 링들 중 하나를 교체하기 위해, 프로세싱 챔버들이 개방되어, 오퍼레이터가 내부의 링에 접근할 수 있게 한다. 그러나, 이러한 프로세스는 시간 소모적이며, 프로세싱 챔버들의 통기로 인해, 프로세싱을 온라인(online) 상태로 되돌리는 데 최대 24 시간이 걸릴 수 있다.
[0004] 따라서, 프로세싱 챔버 내의 소모성 컴포넌트들을 교체하기 위한 새로운 방법들 및 장치들이 필요하다.
[0005] 본 개시내용의 양상들은 일반적으로, 프로세스 챔버들 내에서 소모성 파트, 이를테면 프로세스 키트 링들 및/또는 지지 링들 및/또는 에지 링들을 교체하기 위한 장치들 및 방법들에 관한 것이다.
[0006] 일 예에서, 기판 지지부를 위한 프로세스 키트는, 내측 직경 및 외측 직경에 의해 정의된 바디(body)를 갖는 슬라이딩 링을 포함하며, 바디는 바디를 통해 형성된 하나 또는 그 초과의 개구들을 갖고, 하나 또는 그 초과의 개구들 각각은 바디의 중앙 개구의 축에 평행한 축을 가질 수 있다. 프로세스 키트는 또한, 반경방향 외측 부분 위로 상승된 반경방향 내측 부분을 갖는 계단형 상부 표면을 갖는 지지 링을 포함하며, 지지 링은 슬라이딩 링의 바디의 내측 직경보다 더 작은 외측 직경을 갖는다. 프로세스 키트는, 평면 상부 표면 및 평면 하부 표면을 갖는 에지 링을 더 포함하며, 에지 링은 지지 링의 외측 직경보다 더 작은 내측 직경, 및 지지 링의 외측 직경보다 더 큰 외측 직경을 갖는다.
[0007] 다른 예에서, 기판 지지부를 위한 프로세스 키트는, 내측 직경 및 외측 직경에 의해 정의된 바디를 갖는 슬라이딩 링을 포함하며, 바디는 바디를 통해 형성된 하나 또는 그 초과의 개구들을 갖고, 하나 또는 그 초과의 개구들 각각은 바디의 중앙 개구의 축에 평행한 축을 가질 수 있다. 프로세스 키트는 또한, 반경방향 외측 부분 위로 상승된 반경방향 내측 부분을 갖는 계단형 상부 표면을 갖는 지지 링을 포함하며, 지지 링은 슬라이딩 링의 바디의 내측 직경보다 더 큰 외측 직경, 및 지지 링을 통해 형성된 하나 또는 그 초과의 개구들을 갖고, 여기서, 지지 링을 통해 형성된 하나 또는 그 초과의 개구들 각각은 슬라이딩 링의 바디를 통해 형성된 하나 또는 그 초과의 개구들 중 하나와 정렬될 수 있다. 프로세스 키트는, 평면 상부 표면 및 평면 하부 표면을 갖는 에지 링을 더 포함하며, 에지 링은 지지 링의 외측 직경보다 더 작은 내측 직경, 및 지지 링의 외측 직경보다 더 큰 외측 직경을 갖는다.
[0008] 다른 예에서, 기판 지지부는 정전 척 베이스, 정전 척 베이스 위에 위치된 퍽, 및 기판 지지부를 위한 프로세스 키트를 포함한다. 기판 지지부를 위한 프로세스 키트는, 내측 직경 및 외측 직경에 의해 정의된 바디를 갖는 슬라이딩 링을 포함하며, 바디는 바디를 통해 형성된 하나 또는 그 초과의 개구들을 갖고, 하나 또는 그 초과의 개구들 각각은 바디의 중앙 개구의 축에 평행한 축을 가질 수 있다. 프로세스 키트는 또한, 반경방향 외측 부분 위로 상승된 반경방향 내측 부분을 갖는 계단형 상부 표면을 갖는 지지 링을 포함하며, 지지 링은 슬라이딩 링의 바디의 내측 직경보다 더 작은 외측 직경을 갖는다. 프로세스 키트는, 평면 상부 표면 및 평면 하부 표면을 갖는 에지 링을 더 포함하며, 에지 링은 지지 링의 외측 직경보다 더 작은 내측 직경, 및 지지 링의 외측 직경보다 더 큰 외측 직경을 갖는다.
[0009] 다른 예에서, 방법은, 복수의 리프트 핀들을 수직 상방으로 작동시키는 단계 ― 각각의 리프트 핀은 각각의 리프트 핀의 상부 부분에서 제1 직경을 포함하고, 각각의 리프트 핀의 하부 부분에서 제1 직경보다 더 큰 제2 직경을 포함하며, 작동시키는 단계는 리프트 핀들의 상부 부분들을 지지 링 내의 대응 개구들을 통하게 지향시키는 단계를 포함함 ―; 지지 링 위에 위치된 에지 링을 수직으로 작동시키는 단계; 에지 링을 캐리어로 이송하는 단계; 및 프로세스 챔버로부터 에지 링을 제거하는 단계를 포함한다.
[0010] 본 개시내용의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로, 앞서 간략히 요약된 본 개시내용의 보다 구체적인 설명이 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 단지 예시적인 실시예들을 도시하는 것이므로 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 개시내용이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0011] 도 1a 내지 도 1j는 본 개시내용의 양상들에 따른, 프로세싱 챔버로부터의 링의 제거 동안의 프로세싱 챔버의 개략적인 부분 단면도들이다.
[0012] 도 1k는 본 개시내용의 일 양상에 따른, 도 1a 내지 도 1j에 도시된 슬라이딩 링의 상면 평면도이다.
[0013] 도 2a 및 도 2b는 본 개시내용의 다른 양상에 따른, 링 제거 동작 동안의 기판 지지부의 개략적인 부분도들이다.
[0014] 도 3a 내지 도 3c는 본 개시내용의 다른 양상에 따른, 링 제거 동작 동안의 기판 지지부의 개략적인 부분도들이다.
[0015] 도 4a는 본 개시내용의 일 양상에 따른 캐리어의 개략적인 상면 평면도이다.
[0016] 도 4b는 도 4a의 캐리어의 개략적인 저면 평면도이다.
[0017] 도 5a는 링을 상부에 지지하는 캐리어의 개략적인 상면 평면도이다.
[0018] 도 5b는 도 5a의 개략적인 단면도이다.
[0019] 도 6은 본 개시내용의 일 양상에 따른 프로세싱 시스템을 예시한다.
[0020] 이해를 용이하게 하기 위해, 도면들에 대해 공통인 동일한 엘리먼트들을 지정하기 위해 가능한 경우 동일한 참조 번호들이 사용되었다. 일 실시예의 엘리먼트들 및 특징들이 추가적인 설명 없이 다른 실시예들에 유익하게 포함될 수 있다는 것이 고려된다.
[0021] 본 개시내용의 양상들은 일반적으로, 캐리어를 사용하여, 프로세싱 챔버의 프로세스 키트의 하나 또는 그 초과의 컴포넌트들을 제거 또는 교체하는 것에 관한 것이다. 프로세스 키트의 하나 또는 그 초과의 컴포넌트들은 에지 링, 지지 링, 슬라이딩 링, 및 다른 소모성 또는 분해성(degradable) 컴포넌트들을 포함한다.
[0022] 도 1a 내지 도 1j는 본 개시내용의 양상들에 따른, 프로세싱 챔버(107)로부터의 에지 링(161)의 제거 동안의 프로세싱 챔버(107)의 개략적인 부분 단면도들이다. 종래의 프로세싱 챔버들은 부식된 컴포넌트들, 이를테면 에지 링(161)을 교체하기 위해 오퍼레이터에 의한 분해를 요구하지만, 프로세싱 챔버(107)는 프로세싱 챔버(107)의 분해 없이 에지 링(161)의 교체를 가능하게 하도록 구성된다. 프로세싱 챔버(107)의 포트(108)를 통해 에지 링(161)을 이송함으로써, 프로세싱 챔버(107)의 분해가 방지된다.
[0023] 프로세싱 챔버(107)는, 내부에 있는 기판 지지부(140)를 활용하는, 에칭 챔버, 증착 챔버(원자 층 증착, 화학 기상 증착, 물리 기상 증착, 또는 이들의 플라즈마 강화 버전들을 포함함), 및 어닐링 챔버 등 중 임의의 것일 수 있다. 예시적인 프로세스 챔버들은 캘리포니아, 산타클라라의 어플라이드 머티어리얼스, 인코포레이티드에 의해 생산되는 프로세스 챔버들을 포함한다.
[0024] 프로세싱 챔버(107)는 (도 1b에 도시된) 기판(143)을 상부에 수용하기 위한, 내부에 있는 기판 지지부(140), 및 기판(143)의 출입을 위한 포트(108)를 포함한다. 예컨대, 기판 지지부(140)는 기판 지지부(140)의 상부 표면 상으로의 기판들의 척킹을 가능하게 하기 위한 정전 척을 포함한다. 도 1b는 도 1a의 부분 확대도이다.
[0025] 도 1b에 도시된 바와 같이, 기판 지지부(140)는 퍽(142)이 상부에 배치된 정전 척 베이스(141)를 포함한다. 퍽은 알루미나 또는 알루미늄 산화물로 형성된다. 기판(143)(환영으로 도시됨), 이를테면 200 mm, 300 mm, 또는 450 mm 반도체 웨이퍼가 퍽(142) 상에 위치될 수 있고, 정전 척킹을 통해 그 퍽(142)에 고정될 수 있으며, 그에 따라, 프로세싱 챔버(107) 내의 기판(143)의 프로세싱이 가능하게 될 수 있다.
[0026] 지지 링(145)이 퍽(142) 주위에 그리고 퍽(142)과 접촉하여 위치된다. 지지 링(145)은 퍽(142)의 반경방향-외측 및 상부 에지에 형성된 계단형 표면에 놓인다. 지지 링(145)은 반경방향 외측 부분(147) 위로 상승된 반경방향 내측 부분(146)을 갖는 계단형 상부 표면을 포함한다. 지지 링(145)의 하부 표면(148)은 반경방향 내측 부분(146)과 반경방향 외측 부분(147) 둘 모두에 평행하다. 일 예에서, 하부 표면(148)은 퍽(142)에 형성된 계단형 표면의 하부 부분(180)과 접촉한다. 다른 예에서, 하부 표면(148)은 퍽(142)에 형성된 계단형 표면의 하부 부분(180)과 접촉하며, 부가적으로, 지지 링(145)의 반경방향 내측 측벽(190)은 퍽(142) 주위에 형성된 계단형 표면의 수직 에지(182)와 접촉한다.
[0027] 슬라이딩 링(149)이 정전 척 베이스(141), 퍽(142), 및 지지 링(145) 주위에서 원주를 따라 배치된다. 슬라이딩 링(149)은 슬라이딩 링(149)에 형성된 각각의 개구들(151)에 배치된 복수의 리프트 핀들(150)을 하우징(house)하도록 구성된다. 슬라이딩 링(149) 및 리프트 핀들(150) 각각은, 액추에이터들(미도시), 이를테면 스테퍼 모터들을 통해, 서로 독립적으로 수직으로 작동될 수 있다. 석영 파이프(152)가 슬라이딩 링(149)의 반경방향 외측에 배치된다. 라이너(153)가 석영 파이프(152)의 반경방향 외측에 배치된다. 플라즈마 차폐부(154)가 석영 파이프(152)의 상부 단부를 에워싸면서 라이너(153)의 상부 표면 상에 위치된다. 플라즈마 차폐부(154)는 프로세싱 챔버(107) 내의 플라즈마 유동을 제한한다.
[0028] 도 1b에 예시된 바와 같이, 지지 링(145)의 외측 에지(193)에 의해 정의된 외측 직경은 슬라이딩 링(149)의 내측 에지(183)에 의해 정의된 내측 직경보다 더 작다.
[0029] 석영 링(155)이 플라즈마 차폐부(154) 및 석영 파이프(152) 상에 위치된다. 환상 오목부(156)가 석영 링(155)의 하부 표면에 형성되고, 석영 파이프(152)의 계단형 상부 표면(157)과 맞물린다. 석영 링(155)의 하부 표면의 반경방향 내측 부분(158a)은 석영 링(155)의 하부 표면의 반경방향 외측 부분(158b)보다 더 낮게 위치된다(예컨대, 석영 링(155)의 상부 표면으로부터 더 멀리 연장됨). 포함된 석영 링(155)의 반경방향 외측 및 상부 코너(159)는 둥글지만, 다른 구성들이 또한 고려된다. 계단형 표면(160)이 상부 코너(159) 반대편에서 석영 링(155)의 반경방향 내측 상부 코너 상에 형성된다. 계단형 표면(160)의 하부 부분(192)은 에지 링(161)과 맞물린다.
[0030] 에지 링(161)은 평면 상부 표면(162a) 및 평면 하부 표면(162b)을 포함하며, 그 평면 상부 표면(162a)과 평면 하부 표면(162b)은 대체로 서로 평행하다. 계단형 표면(160)과의 맞물림에 부가하여, 에지 링(161)의 평면 하부 표면(162b)은 또한, 지지 링(145)의 반경방향 외측 부분(147)의 상부 표면과 맞물린다. 프로세싱 동안, 슬라이딩 링(149)의 상부 단부(194) 또는 리프트 핀(150)의 상부 단부(196)가 또한, 석영 링(155) 및 지지 링(145)으로부터 에지 링(161)을 상승시키기 위해, 에지 링(161)의 평면 하부 표면(162b)과 맞물릴 수 있다. 에지 링(161)의 상승은, 예컨대, 에지 링(161)의 부식을 보상함으로써, 기판(143)의 반경방향 외측 에지에 인접한 플라즈마 시스를 조정하기 위해 사용될 수 있다. 일 예에서, 에지 링(161)은 최대 약 2 밀리미터(mm)의 거리만큼 상승될 수 있다. 그러나, 특정 시간량 후, 에지 링(161)은 에지 링(161)을 교체하는 것이 바람직한 포인트까지 부식될 수 있다. 본 개시내용의 양상들은 포트(108)를 통한 에지 링(161)의 제거 및 교체를 가능하게 하고, 그에 따라, 에지 링(161) 교체를 위해 프로세싱 챔버(107)의 분해가 필요하지 않게 된다.
[0031] 도 1b에 예시된 바와 같이, 에지 링(161)의 내측 에지(170)에 의해 정의된 내측 직경은 지지 링(145)의 외측 에지(193)에 의해 정의된 외측 직경보다 더 작다. 또한, 에지 링(161)의 외측 에지(171)에 의해 정의된 외측 직경은 지지 링(145)의 외측 에지(193)에 의해 정의된 외측 직경보다 더 크다. 게다가, 에지 링(161)의 외측 에지(171)에 의해 정의된 외측 직경은 슬라이딩 링(149)의 외측 에지(184)에 의해 정의된 외측 직경보다 더 크다.
[0032] 에지 링(161)의 제거를 위해, 그리고 도 1c 및 도 1d를 참조하면, 에지 링(161) 아래에 캐리어(113)(도 1d에서 환영으로 도시됨)를 수용하기 위한 클리어런스(clearance)를 제공하기 위해, 에지 링(161)이 포트(108) 위의 높이까지 리프트 핀들(150)에 의해 상승된다. 캐리어는 로봇 블레이드 또는 엔드 이펙터 등(명료성을 위해 도 1d에 도시되지 않음)에 의해 에지 링(161) 아래에 위치된다.
[0033] 이제 도 1e를 참조하면, 캐리어(113)가 에지 링(161) 아래에(예컨대, 기판 지지부(140) 위에 그리고 기판 지지부(140)와 동심으로 정렬되어) 위치되면, 복수의 리프트 핀들(163)이 로봇 블레이드(명료성을 위해 도 1e에 도시되지 않음)로부터 캐리어(113)를 리프팅하기 위해 수직 상방으로 작동된다. 캐리어(113)가 리프팅되면, 로봇 블레이드는 프로세싱 챔버(107)로부터 제거된다. 리프트 핀들(163)은 리프트 핀들(150)의 반경방향 내측에 위치된다. 일 예에서, 3개의 리프트 핀들(163)이 캐리어(113)와 맞물리기 위해 활용된다.
[0034] 도 1f에 도시된 바와 같이, 캐리어(113)가 리프트 핀들(163) 상에 지지된 상태에서, 리프트 핀들(150)은 캐리어(113) 상에 에지 링(161)을 위치시키기 위해 하강한다. 도 1g에 도시된 바와 같이, 에지 링(161)이 캐리어(113) 상에 유지되면서, 리프트 핀들(150)은 기판 지지부(140) 내로 계속 하강한다. 도 1h에 도시된 바와 같이, 리프트 핀들(150)이 기판 지지부 내로 들어간 상태에서, 리프트 핀들(163)은 캐리어(113) 및 에지 링(161)을 상승시키기 위해 상방으로 상승된다. 도 1h에 도시된 바와 같이, 리프트 핀들(163)은 프로세싱 챔버(107) 내로의 로봇 블레이드(164)의 진입을 가능하게 할 정도로 충분한 높이까지 상승된다. 로봇 블레이드(164) 상으로의 캐리어(113)의 배치를 가능하게 하기 위해, 로봇 블레이드(164)는 캐리어(113) 및 에지 링(161) 아래에 위치된다.
[0035] 도 1i에 도시된 바와 같이, 로봇 블레이드(164)가 캐리어(113) 아래에 위치되면, 리프트 핀들(163)은 로봇 블레이드(164) 상으로 캐리어(113)를 위치시키기 위해 하강한다. 리프트 핀들(163)의 부가적인 하방 이동은 로봇 블레이드(164)와 리프트 핀들(163) 사이의 간섭을 제거한다. 도 1j에 도시된 바와 같이, 리프트 핀들(163)이 로봇 블레이드(164)로부터 제거된 상태에서, 로봇 블레이드(164) 뿐만 아니라 캐리어(113), 에지 링(161), 및 선택적인 로봇 리스트(wrist)(549)는 포트(108)를 통해 프로세싱 챔버(107)로부터 제거될 준비가 된다. 후속하여, 캐리어(113)는 로봇 블레이드(164) 상에서 포트(108)를 통해 이동되고, 도 6의 예에 따라 이송된다. 역 동작에 의해 프로세싱 챔버(107) 내로 새로운 에지 링(161)이 도입될 수 있다는 것이 고려된다.
[0036] 도 1a 내지 도 1j는 에지 링 제거 프로세스의 일 예를 예시한다. 그러나, 다른 예들이 또한 고려된다. 예컨대, 도 1e를 참조하면, 캐리어(113)가 로봇 블레이드 상에 지지되어 있으면서, 에지 링(161)이 캐리어(113) 상으로 하강될 수 있다는 것이 고려된다. 이어서, 리프트 핀들(150)이 클리어런스를 제공하기 위해 하강할 수 있으며, 캐리어(113) 및 에지 링(161)을 상부에 갖는 로봇이 포트(108)를 통해 챔버로부터 제거될 수 있다. 그러한 예에서, 캐리어가 먼저 리프트 핀들(163)로 이송될 필요가 없다.
[0037] 본원의 양상들은 특정 재료들로 형성된 챔버 하드웨어 또는 프로세싱 컴포넌트들로 제한되지 않는다. 예컨대, 에지 링(161), 지지 링(145), 슬라이딩 링(149), 및 라이너(153)가, 석영, 실리콘 질화물, 알루미늄 질화물, 그래파이트, 실리콘 탄화물, 또는 다른 세라믹 재료들을 포함하는 하나 또는 그 초과의 재료들로 형성될 수 있다는 것이 고려된다. 일 예에서, 에지 링(161) 및 지지 링(145)은 실리콘 탄화물로 형성된다. 다른 재료들이 또한 고려된다.
[0038] 도 1k는 본 개시내용의 일 양상에 따른, 도 1a 내지 도 1j에 도시된 슬라이딩 링(149)의 상면 평면도이다. 슬라이딩 링(149)은, 내측 직경(187) 및 외측 직경(188)을 갖는 바디(186)에 의해 정의된 중앙 개구(185)를 갖는 원형 컴포넌트이다. 하나 또는 그 초과의 개구들(151)(3개가 도시됨)이 내부에 리프트 핀들(150)(도 1b에 도시됨)을 수용하기 위해 바디(186) 내에 또는 바디(186)를 통해 형성된다. 개구들(151)은 중앙 개구(185)와 축방향으로 정렬된다. 도시된 예에서, 개구들(151)은 중앙 개구(185)의 축에 평행한 축을 갖는다.
[0039] 다시 도 1b를 참조하면, 본원의 양상들에 따라 플라즈마 시스들이 조정가능하다. 예컨대, 프로세싱 동안, 에지 링(161)은 부식될 수 있으며, 이는, 특히 기판(143)의 반경방향 외측 에지들에서(예컨대, 에지 링(161) 근방에서 위에 있는), 플라즈마 시스의 평면성에 영향을 미친다. 부식을 보상하기 위해, 에지 링(161)은 슬라이딩 링(149)의 작동에 의해, 선택된 높이까지 상승될 수 있다.
[0040] 플라즈마 시스 튜닝성을 더 가능하게 하기 위해, 에지 링(161)은 프로세스 챔버(107)의 RF 소스에 커플링될 수 있다. 일 예에서, 에지 링(161)은, 제1 경로(CRF1) 및 제2 경로(CRF2)를 통해 RF 소스에 커플링된다. 제1 경로(CRF1)는 정전 척 베이스(141)로부터 퍽(142) 및 지지 링(145)을 통해 커플링되는 한편, 제2 경로(CRF2)는 정전 척 베이스(141)로부터 슬라이딩 링(149)을 통해 커플링된다. 그러한 예에서, 슬라이딩 링(149)은 제2 경로(CRF2)를 통한 일정한 또는 거의 일정한 RF 커플링을 가능하게 하기 위해, 전도성 재료, 이를테면 알루미늄과 같은 금속으로 형성될 수 있다. 제2 경로(CRF2)를 통한 일정한 RF 커플링은 기판(143)의 표면에 걸쳐 일정한 플라즈마 시스 두께를 발생시킨다. 대조적으로, 에지 링(161)의 핀-기반 또는 비-전도성 슬리브-기반 조정은 에지 링(161)이 상승됨에 따라 RF 디커플링을 발생시키며, 이는 플라즈마 시스 붕괴(collapse)를 야기하여, 플라즈마 및 프로세싱 불-균일성을 초래할 수 있다.
[0041] 부가하여, 본 개시내용의 양상들은 추가로, 제2 경로(CRF2)의 캐패시턴스가 플라즈마 시스의 캐패시턴스보다 훨씬 더 크게 되도록 RF 전력들을 선택함으로써, 일정한 RF 커플링을 가능하게 한다. 플라즈마 시스의 캐패시턴스보다 훨씬 더 큰 캐패시턴스로 제2 경로(CRF2)의 캐패시턴스를 유지함으로써, 프로세싱 동안 플라즈마 시스 균일성을 발생시키는 RF 커플링이 유지된다. 게다가, 플라즈마 시스의 캐패시턴스보다 훨씬 더 큰 캐패시턴스로 제2 경로(CRF)의 캐패시턴스를 유지하는 경우, 에지 링(161) 상의 전압이 일정하게 유지된다. 제2 경로(CRF2)를 따라 원하는 캐패시턴스를 가능하게 하기 위해, 정전 척 베이스(141)의 반경방향 외측 에지(197)와 슬라이딩 링(149)의 반경방향 내측 에지(198) 사이의 갭의 폭이 캐패시턴스를 조정하기 위해 선택될 수 있다. 상대적인 컴포넌트들 내의 또는 상대적인 컴포넌트들 사이의 다른 갭들이 또한, RF 경로들 및/또는 캐패시턴스를 추가로 튜닝하기 위해 조정될 수 있다. 도 1a 내지 도 1k, 도 2a, 도 2b, 도 3a 내지 도 3c, 도 4a, 도 4b, 도 5a, 도 5b, 및 도 6에서 특정 컴포넌트들 사이에 갭들이 도시되어 있지만, 일부 실시예들에서, 컴포넌트들 중 하나 또는 그 초과가 서로 접촉하도록 갭들이 제거될 수 있다는 것이 고려된다. 부가적으로, 도 1a 내지 도 1k, 도 2a, 도 2b, 도 3a 내지 도 3c, 도 4a, 도 4b, 도 5a, 도 5b, 및 도 6에서 특정 컴포넌트들이 서로 접촉하는 것으로 도시되어 있지만, 그러한 컴포넌트들이 서로 간에 갭들을 가질 수 있다는 것이 고려된다. 예컨대, 갭들은, 입자 생성의 가능성을 방지하거나 또는 감소시키거나, 컴포넌트들의 이동을 가능하게 하거나, 또는 이동 동안 컴포넌트들 사이의 마찰을 감소시키기 위해 포함될 수 있다. 원하는 캐패시턴스 및 RF 커플링을 더 가능하게 하기 위해, 에지 링(161)은, 50 옴·cm 미만, 이를테면 약 1 옴·cm 내지 약 40 옴·cm의 저항률을 가질 수 있다. 그러한 예에서, 에지 링(161)은 실리콘 또는 실리콘 탄화물로 형성될 수 있다.
[0042] 도시되어 있지 않지만, 기판 프로세싱을 가능하게 하기 위해, 프로세싱 챔버(107)가 또한, 하나 또는 그 초과의 가스 확산기들, 플라즈마 생성기들, 또는 다른 컴포넌트들(미도시)을 포함할 수 있다는 것이 고려된다. 이를 위해, 기판 지지부(140)는, 본원에서 달리 명시적으로 언급되지 않는 한, 특정 챔버 컴포넌트들과 함께 사용하는 것으로 제한되지 않는다.
[0043] 도 2a 및 도 2b는 본 개시내용의 다른 양상에 따른, 링 제거 동작 동안의 기판 지지부(240)의 개략적인 부분도들이다. 기판 지지부(240)는 기판 지지부(140)(도 1b에 도시됨)와 유사하지만, 기판 지지부(240)는 에지 링(261)에 부가하여 그리고 에지 링(261)과 동시에 지지 링(245)의 제거를 가능하게 하도록 구성된다.
[0044] 지지 링(245)과 에지 링(261) 둘 모두의 제거를 가능하게 하기 위해, 지지 링(245)은 리프트 핀들(150)(1개가 도시됨) 위의 포지션까지 반경방향 외측으로 연장된다. 따라서, 리프트 핀들(150)은, 상승되는 경우, 지지 링(245)의 하부 표면(265a)과 맞물린다. 리프트 핀(150)의 계속되는 수직 이동은 지지 링(245) 뿐만 아니라 지지 링(245) 상에 위치된 에지 링(261)을 상승시킨다. 도 2b에 도시된 바와 같이, 지지 링(245) 및 에지 링(261)이 퍽(142) 위로 충분한 높이까지 상승되면, 지지 링(245) 및 에지 링(261)을 수용하기 위해 캐리어(113)가 위치된다. 도 1e 내지 도 1j에 대하여 설명된 것과 유사하게, 지지 링(245), 및 지지 링(245) 상에 위치된 에지 링(261)이 캐리어(113) 상에 배치되고, 이어서, 캐리어(113)가 프로세싱 챔버로부터 제거된다.
[0045] 도 2a에 도시된 바와 같이, 지지 링(245)을 방해하지 않으면서 프로세싱 동안 플라즈마 시스의 조정을 가능하게 하기 위해, 슬라이딩 링(249)이 에지 링(261)을 상승시키도록 위치될 수 있다. 슬라이딩 링(249)은 바디(286)를 갖는다. 슬라이딩 링(249)은 위에서 설명된 슬라이딩 링(149)과 유사하지만, 연장부(266)를 포함한다. 연장부(266)는 슬라이딩 링(249)의 상방 단부에 위치되고, 슬라이딩 링(249)의 바디(286)로부터 반경방향 외측으로 연장된다. 연장부(266)는 수평 부분(267a) 및 수직 부분(267b)을 포함한다. 수평 부분(267a)은 슬라이딩 링(249)의 바디(286)로부터 반경방향 외측으로 연장된다. 수직 부분(267b)은 수평 부분(267a)으로부터 상방으로 연장된다. 도 2a에 예시된 바와 같이, 수직 부분(267b)은 또한, 슬라이딩 링(249)의 바디(286)와 축방향으로 정렬된다. 도시된 예에서, 수직 부분(267b)은 슬라이딩 링(249)의 바디(286)의 축에 평행한 축을 갖는다. 슬라이딩 링(249)의 작동은 에지 링(261)의 상승을 가능하게 하기 위해, 연장부(266)가 에지 링(261)의 하부 표면(262b)과 접촉하게 한다. 슬라이딩 링(249)이 최하부 포지션에 있는 경우, 지지 링(145)의 하부 표면(265a)과 슬라이딩 링(249)의 상부 단부(294) 사이에 수직 갭(268)이 존재한다. 수직 갭(268)은, 슬라이딩 링(249)이 지지 링(245)과 접촉되지 않게 하면서, 슬라이딩 링(249)의 약간의 수직 이동을 가능하게 할 수 있다. 일 예에서, 수직 갭(268)은 약 2 mm 초과이다. 도 2a에 예시된 바와 같이, 지지 링(245)의 외측 에지(293)에 의해 정의된 외측 직경은 슬라이딩 링(249)의 내측 에지(283)에 의해 정의된 내측 직경보다 더 클 수 있다. 수직 부분(267b)의 내측 에지(295)에 의해 정의된 내측 직경은 지지 링(245)의 외측 에지(293)에 의해 정의된 외측 직경보다 더 클 수 있다. 또한, 수직 부분(267b)의 외측 에지(297)에 의해 정의된 외측 직경은 에지 링(261)의 외측 에지(271)에 의해 정의된 외측 직경보다 더 작을 수 있다. 슬라이딩 링(249)의 연장부(266)를 수용하기 위해, 석영 링(255)이 활용되는 것이 고려된다. 석영 링(255)은 석영 링(155)(도 1b에 도시됨)과 유사하지만, 석영 링(255)은 증가된 내측 직경을 포함한다. 따라서, 환상 오목부(156)의 반경방향 내측에 위치된 부분(269)은 석영 링(155)의 대응 부분과 비교하여 감소된 폭(W)을 포함한다.
[0046] 도 3a 내지 도 3c는 본 개시내용의 다른 양상에 따른, 링 제거 동작 동안의 기판 지지부(340)의 개략적인 부분도들이다. 기판 지지부(340)는 기판 지지부(240)와 유사하지만, 에지 링(361) 및 지지 링(345)의 독립적인 제거 및/또는 설치를 가능하게 한다. 슬라이딩 링(349)은 슬라이딩 링(349)에 형성된 하나 또는 그 초과의 개구들(351)을 포함한다. 에지 링(361) 및 지지 링(345)의 독립적인 제거 및/또는 설치를 가능하게 하기 위해, 지지 링(345)은 내부에 형성된 복수의 개구들(370)을 포함한다. 각각의 개구(370)는 각각의 리프트 핀(350)과 정렬될 수 있고, 그에 따라, 각각의 개구(370)를 통해 리프트 핀(350)이 통과될 수 있게 된다. 리프트 핀(350)은 위에서 설명된 리프트 핀(150)과 유사하지만, 리프트 핀(350)은 제1 직경을 갖는 하부 부분(371a), 및 제1 직경보다 더 작은 제2 직경을 갖는 상부 부분(371b)을 포함한다. 제1 직경은 개구(370)의 직경보다 더 작은 한편, 제2 직경은 개구(370)의 직경보다 더 크다. 따라서, 상부 부분(371b)은 개구들(370) 내에 수용될 수 있는 한편, 하부 부분(371a)은 그렇지 않다. 제1 직경은 숄더(372)에서 제2 직경으로 전환된다. 도 3a에 예시된 바와 같이, 지지 링(345)에 형성된 개구들(370) 각각은 슬라이딩 링(349)에 형성된 개구들(351) 중 하나와 정렬될 수 있다.
[0047] 도 3b 및 도 3c를 참조하면, 에지 링(361)은 지지 링(345)과 독립적으로 제거될 수 있다. 지지 링(345)보다 더 빠르게 부식되는 에지 링(361)이 활용되는 경우, 독립적인 제거가 유익하다. 따라서, 부식된 에지 링(361)을 제거할 때, 유효 수명이 여전히 남아 있는 지지 링(345)은 제거 및/또는 교체될 필요가 없다. 따라서, 시간 및 재료들이 절약된다.
[0048] 도 3b는 에지 링(361)의 제거를 개략적으로 예시한다. 에지 링(361)을 제거하기 위하여, 기판 지지부(340)로부터 에지 링(361)을 상승시키기 위해, 리프트 핀(350)이 지지 링(345) 내의 개구(370)를 통해 상방으로 작동된다. 도시된 예에서, 에지 링(361)의 작동은 지지 링(345)이 이동되게 하지 않는다. 리프트 핀(350)은 제1 높이까지 상승되며, 그 제1 높이는 에지 링(361) 아래에 캐리어(313) 및 로봇 블레이드(도 3b에 도시되지 않음)를 위한 클리어런스를 제공한다. 캐리어(313)는 캐리어(113)와 유사하지만, 캐리어(313)의 둘레 주위에 다단 표면(375)을 포함한다. 다단 표면(375)은 에지 링(361)을 상부에 지지하기 위한 제1 계단형 표면(376a), 및 지지 링(345)을 상부에 지지하기 위한 제2 계단형 표면(376b)을 포함한다. 제1 계단형 표면(376a)의 반경방향 내측 측벽(376c)은 에지 링(361)의 내측 직경과 대략 동일한 외측 직경, 이를테면 에지 링(361)의 내측 직경의 약 95 퍼센트 내지 약 100 퍼센트의 외측 직경을 가질 수 있다. 예컨대, 제1 계단형 표면(376a)의 반경방향 내측 측벽(376c)의 외측 직경은 에지 링(361)의 내측 직경의 약 98 퍼센트 내지 100 퍼센트, 또는 약 99 퍼센트 내지 100 퍼센트일 수 있다. 유사하게, 제2 계단형 표면(376b)은 지지 링(345)의 내측 직경과 대략 동일한 외측 직경; 이를테면 지지 링(345)의 내측 직경의 약 95 퍼센트 내지 100 퍼센트, 또는 약 98 퍼센트 내지 100 퍼센트, 또는 약 99 퍼센트 내지 100 퍼센트의 외측 직경을 갖는다.
[0049] 도 1e 내지 도 1j에 대하여 위에서 설명된 것과 유사하게, 캐리어(313)가 에지 링(361) 아래에 위치된 상태에서, 에지 링(361)이 캐리어(313)로 이송되고, 프로세스 챔버(107)로부터 제거된다. 캐리어(313) 및 에지 링(361)이 프로세스 챔버(107)로부터 제거된 상태에서, 리프트 핀(350)이 더 상방으로 작동된다. 리프트 핀(350)의 숄더(372)는 지지 링(345)의 하부 표면(348)과 맞물린다. 도 3c에 도시된 바와 같이, 리프트 핀(350)의 계속되는 상승은 지지 링(345)과 기판 지지부(340) 사이에 캐리어(313)를 수용할 정도로 충분한 높이까지 기판 지지부(340)로부터 지지 링(345)을 상승시킨다. 상부 부분(371b) 및 하부 부분(371a)의 길이들은, 충분한 움직임 범위를 여전히 허용하면서, 프로세스 챔버 내에서 에지 링(361) 및 지지 링(345)의 원하는 위치 설정을 제공하도록 선택될 수 있다는 것이 고려된다. 지지 링(345)이 상승되면, 캐리어(313)는 캐리어(313)의 계단형 표면(376b) 상에 지지 링(345)을 수용하기 위해 기판 지지부(340) 위에 위치된다. 도 1e 내지 도 1j에 대하여 위에서 설명된 것과 유사하게, 캐리어(313) 및 지지 링(345)은 프로세스 챔버(107)로부터 제거된다.
[0050] 도 4a는 본 개시내용의 일 양상에 따른 캐리어(113)의 개략적인 상면 평면도이다. 도 4b는 도 4a의 캐리어(113)의 개략적인 저면 평면도이다. 캐리어(113)는, 2개의 평행 에지들(414a, 414b), 및 2개의 평행 에지들(414a, 414b)을 커플링시키는 2개의 대향 만곡 에지들(415a, 415b)에 의해 정의된 둘레를 갖는 반원형 플레이트(416)이다. 만곡 에지들(415a, 415b)은 그 위에 위치된 에지 링의 측면 지지를 가능하게 하는 한편, 2개의 평행 에지들(414a, 414b)은 캐리어(113)를 내부에 수용하도록 본래 설계되지 않은 프로세싱 챔버들에 캐리어(113)가 수용될 수 있게 한다. 예컨대, 2개의 평행 에지들(414a, 414b)은 캐리어(113)가 프로세싱 챔버 내에 위치되어 있는 동안 프로세싱 챔버 내에서 리프트 핀들(이를테면, 리프트 핀들(150))이 캐리어(113)와 간섭하지 않으면서 작동되는 것을 가능하게 할 수 있다. 캐리어(113)는 또한, 제1 아치형 지지 구조(430a) 및 제2 아치형 지지 구조(430b)를 포함한다.
[0051] 반원형 플레이트(416)는 중심 개구(417), 및 중심 개구(417) 주위에 동심으로 위치된 하나 또는 그 초과의 반원형 개구들(418a)(3개가 도시됨)을 포함한다. 부가적인 반원형 개구들(418b)이 하나 또는 그 초과의 반원형 개구들(418a) 주위에 동심으로 위치된다. 반원형 개구들(418a, 418b)은 캐리어(113)의 중량의 감소를 가능하게 하여, 반도체 웨이퍼 중량들을 초과하는 중량들을 핸들링하도록 본래 설계되지 않은 기존의 이송 장비 상에서 캐리어(113)가 사용될 수 있게 한다. 일 예에서, 반원형 플레이트(416)는, 탄소 섬유, 그래파이트, 실리콘 탄화물, 그래파이트-코팅된-실리콘-탄화물, 실리콘 질화물, 실리콘 산화물, 알루미나 등을 포함하는 하나 또는 그 초과의 재료들로 형성된다. 다른 재료들이 또한 고려된다.
[0052] 반원형 플레이트(416)는 또한, 반원형 플레이트(416)에 배치된 제1 복수의 리셉터클들(419)을 포함한다. 제1 복수의 리셉터클들(419)은 프로세싱 챔버 내의 캐리어(113)의 작동을 가능하게 하기 위해 제1 복수의 리셉터클들(419)에 리프트 핀(이를테면, 리프트 핀(163))을 수용하도록 구성되고 사이즈가 설정된다. 제1 복수의 리셉터클들(419)은 각각, 반원형 플레이트(416)의 중심으로부터 동일한 반경방향 거리에 위치된다. 일 예에서, 제1 복수의 리셉터클들(419)은, 반원형 개구들(418a)의 반경보다 더 크지만 반원형 개구들(418b)의 반경보다 더 작은 반경에 위치된다.
[0053] 반원형 플레이트(416)는 또한, 반원형 플레이트(416)에 배치된 제2 복수의 리셉터클들(425)(3개가 도시됨)을 포함한다. 리셉터클들(425)은 각각, 지지 구조, 이를테면 로봇 블레이드와 맞물리도록 구성된다. 지지 구조에 의한 리셉터클들(425)의 맞물림은 캐리어(113)의 이송 동안 캐리어(113)와 지지 구조 사이의 상대적인 이동을 감소시키거나 또는 방지한다. 예컨대, 지지 구조는 리셉터클들(425) 내에 수용될 대응하는 수형(male) 플러그들을 포함할 수 있다.
[0054] 리셉터클들(419, 425) 각각은, 금속, 실리콘 탄화물, 그래파이트, 알루미나, 실리콘 질화물, 실리콘 산화물, 폴리에틸렌 테레프탈레이트, 또는 세라믹 재료 중 하나 또는 그 초과로 형성될 수 있다. 다른 재료들이 또한 고려된다. 일 예에서, 리셉터클들(419, 425)은 입자 생성을 감소시키기 위해, 연성 폴리머 재료, 이를테면 Vespel®, Ultem®, 아세탈, PTFE, 또는 세라믹 재료, 이를테면 실리콘 탄화물로 형성된다.
[0055] 도 5a는 에지 링(410)을 상부에 지지하는 캐리어(113)의 개략적인 상면 평면도이다. 도 5b는 도 5a의 개략적인 단면도이다. 도 5a 및 도 5b에 도시된 바와 같이, 에지 링(410)은 제1 아치형 지지 구조(430a) 및 제2 아치형 지지 구조(430b) 상에 배치되고, 제1 아치형 지지 구조(430a) 및 제2 아치형 지지 구조(430b)에 의해 지지된다. 에지 링(410)의 하부 표면(432)은 지지 표면(433)과 접촉하는 한편, 에지 링(410)의 반경방향 내측 에지(420)는 제1 아치형 지지 구조(430a) 및 제2 아치형 지지 구조(430b)의 수직 벽들(434)과 접촉한다. 예시된 예에서, 에지 링(410)은 제1 아치형 지지 구조(430a) 및 제2 아치형 지지 구조(430b)의 외측 직경보다 더 작은 내측 직경을 가지며, 에지 링(410)은 제1 아치형 지지 구조(430a) 및 제2 아치형 지지 구조(430b)의 외측 직경들보다 더 큰 외측 직경을 갖는다. 부가적으로, 에지 링(410)의 상부 표면(440)은 제1 아치형 지지 구조(430a) 및 제2 아치형 지지 구조(430b)의 상부 표면들(444) 위에 배치된다. 일 예에서, 제1 아치형 지지 구조(430a)와 제2 아치형 지지 구조(430b) 중 하나 또는 둘 모두는 정전 척 또는 다른 기판 지지부와의 정렬을 가능하게 하기 위해 정전 척 또는 다른 기판 지지부와 맞물리기 위한 피처, 이를테면 평탄한 표면을 포함할 수 있다.
[0056] 도 4b에 도시된 예에서, 제1 아치형 지지 구조(430a) 및 제2 아치형 지지 구조(430b)(도 4a에 둘 모두가 도시됨) 각각은, 반원형 플레이트(416)를 관통하여 형성된 개구들(도 4b에 도시되지 않음)과 맞물리는 수형 연장부들(431)을 포함한다. 일 예에서, 개구들 및 수형 연장부들(431) 뿐만 아니라 제1 아치형 지지 구조(430a) 및 제2 아치형 지지 구조(430b)는 리셉터클들(425)의 반경방향 외측에 위치된다. 제1 아치형 지지 구조(430a) 및 제2 아치형 지지 구조(430b) 각각은 만곡 에지들(415a, 415b)의 곡률 반경과 대략 동일한 곡률 반경을 가질 수 있다.
[0057] 도 6은 본 개시내용의 일 양상에 따른 프로세싱 시스템(600)을 예시한다. 프로세싱 시스템(600)은 프로세싱 시스템(600) 내로 기판들을 이송하기 위한 복수의 카세트들(602)이 커플링될 수 있는 팩토리 인터페이스(601)를 포함한다. 프로세싱 시스템(600)은 또한, 팩토리 인터페이스(601)를 각각의 디개싱 챔버들(604a, 604b)에 커플링시키는 제1 진공 포트들(603a, 603b)을 포함한다. 제2 진공 포트들(605a, 605b)이 각각의 디개싱 챔버들(604a, 604b)에 커플링되고, 디개싱 챔버들(604a, 604b)과 이송 챔버(606) 사이에 배치되어, 이송 챔버(606) 내로의 기판들의 이송을 가능하게 한다. 이송 챔버(606)는 이송 챔버(606) 주위에 배치되고 이송 챔버(606)에 커플링된 복수의 프로세싱 챔버들(607)을 포함한다. 프로세싱 챔버들(607)은 각각의 포트들(608), 이를테면 슬릿 밸브들 등을 통해 이송 챔버(606)에 커플링된다. 제어기(609)는 프로세싱 시스템(600)의 다양한 양상들을 제어한다.
[0058] 도 6은 프로세싱 챔버(607) 내로의 에지 링(610)의 이송을 개략적으로 예시한다. 본 개시내용의 일 양상에 따르면, 팩토리 인터페이스(601)에 위치된 팩토리 인터페이스 로봇(611)을 통해 에지 링(610)이 복수의 카세트들(602) 중 하나로부터 제거되거나, 또는 대안적으로, 팩토리 인터페이스(601) 내로 직접적으로 로딩된다. 팩토리 인터페이스 로봇(611)은 제1 진공 포트들(603a, 603b) 중 하나를 통해 그리고 각각의 디개싱 챔버(604a, 604b) 내로 에지 링(611)을 이송한다. 이송 챔버(606)에 위치된 이송 챔버 로봇(612)은 제2 진공 포트(605a 또는 605b)를 통해 디개싱 챔버들(604a, 604b) 중 하나로부터 에지 링(610)을 제거한다. 이송 챔버 로봇(612)은 이송 챔버(606) 내로 에지 링(610)을 이동시키며, 여기서, 에지 링(610)은 각각의 포트(608)를 통해 원하는 프로세싱 챔버(607)로 이송될 수 있다. 도 6에서 명료성을 위해 도시되어 있지 않지만, 에지 링(610)의 이송은 에지 링(610)이 캐리어(113) 상에 위치되어 있는 동안 발생된다. 프로세싱 챔버(607)로부터의 에지 링(610)의 제거는 역순으로 발생된다.
[0059] 도 6이 에지 링 이송의 일 예를 예시하고 있지만, 다른 예들이 또한 고려된다. 예컨대, 에지 링(610)이 이송 챔버(606) 내로 수동적으로 로딩될 수 있다는 것이 고려된다. 이송 챔버(606)로부터, 에지 링(610)은 이송 챔버 로봇(612)에 의해 프로세싱 챔버(607) 내로 로딩될 수 있다. 부가적으로 또는 대안적으로, 에지 링들은 지지 유닛에 로딩될 수 있다. 예시된 지지 유닛 반대편에 부가적인 지지 유닛이 팩토리 인터페이스(601)와 연통하게 위치될 수 있다. 2개의 지지 유닛들 또는 다수의 카세트들(602)을 활용하는 경우, 하나의 SSP 또는 카세트(602)는 프로세싱되지 않은 에지 링들(610)을 위해 사용될 수 있는 한편, 다른 지지 유닛 또는 카세트(602)는 프로세싱된 링들(610)을 수용하기 위해 사용될 수 있다는 것이 고려된다. 본원에서 설명되는 이익들은 챔버를 분해하지 않으면서 챔버 하드웨어를 제거하는 것을 포함한다. 따라서, 감소된 다운타임으로 예방 유지보수가 수행될 수 있다.
[0060] 도 6이 프로세싱 시스템(600)을 통한 에지 링(610)의 이송을 예시하고 있지만, 다른 소모성 파트들, 이를테면 프로세스 링들 및 지지 링들 등이 유사한 방식으로 이송될 수 있다는 것이 고려된다.
[0061] 본 개시내용의 일 예에서, 방법은, 복수의 리프트 핀들을 수직 상방으로 작동시키는 단계 ― 각각의 리프트 핀은 각각의 리프트 핀의 상부 부분에서 제1 직경을 포함하고, 각각의 리프트 핀의 하부 부분에서 제1 직경보다 더 큰 제2 직경을 포함하며, 작동시키는 단계는 리프트 핀들의 상부 부분들을 지지 링 내의 대응 개구들을 통하게 지향시키는 단계를 포함함 ―; 지지 링 위에 위치된 에지 링을 수직으로 작동시키는 단계; 에지 링을 캐리어로 이송하는 단계; 및 프로세스 챔버로부터 에지 링을 제거하는 단계를 포함한다. 다른 예에서, 방법은, 프로세스 챔버로부터 에지 링이 제거된 후, 제2 직경을 갖는 리프트 핀들의 하부 부분들과 지지 링을 맞물리게 하기 위해, 리프트 핀을 더 상방으로 작동시키는 단계를 더 포함할 수 있다.
[0062] 다른 예에서, 방법은, 리프트 핀들의 하부 부분들을 이용하여 지지 링을 리프팅하고, 지지 링을 빈 캐리어 상에 배치하는 단계를 더 포함할 수 있다. 방법의 또 다른 예에서, 에지 링을 수직으로 작동시키는 것은 지지 링이 이동되게 하지 않을 수 있다.
[0063] 전술한 바가 본 개시내용의 실시예들에 관한 것이지만, 본 개시내용의 다른 및 추가적인 실시예들이 본 개시내용의 기본적인 범위로부터 벗어나지 않으면서 고안될 수 있고, 본 개시내용의 범위는 다음의 청구항들에 의해 결정된다.

Claims (15)

  1. 기판 지지부를 위한 프로세스 키트로서,
    내측 직경 및 외측 직경에 의해 정의된 바디(body)를 갖는 슬라이딩 링 ― 상기 바디는 상기 바디를 통해 형성된 하나 또는 그 초과의 개구들을 갖고, 상기 하나 또는 그 초과의 개구들 각각은 상기 바디의 중앙 개구의 축에 평행한 축을 가짐 ―;
    반경방향 외측 부분 위로 상승된 반경방향 내측 부분을 갖는 계단형 상부 표면을 갖는 지지 링 ― 상기 지지 링은 상기 슬라이딩 링의 바디의 내측 직경보다 더 작은 외측 직경을 가짐 ―; 및
    평면 상부 표면 및 평면 하부 표면을 갖는 에지 링
    을 포함하며,
    상기 에지 링은,
    상기 지지 링의 외측 직경보다 더 작은 내측 직경; 및
    상기 지지 링의 외측 직경보다 더 큰 외측 직경
    을 갖는,
    프로세스 키트.
  2. 제1 항에 있어서,
    상기 에지 링의 외측 직경은 상기 슬라이딩 링의 바디의 외측 직경보다 더 큰,
    프로세스 키트.
  3. 제1 항에 있어서,
    상기 슬라이딩 링의 바디에 형성된 하나 또는 그 초과의 개구들은 적어도 3개의 개구들을 포함하는,
    프로세스 키트.
  4. 제1 항에 있어서,
    상기 슬라이딩 링은 상기 바디의 상부 단부에 수평 부분을 더 포함하며,
    상기 수평 부분은 상기 바디로부터 반경방향 외측으로 연장되는,
    프로세스 키트.
  5. 제4 항에 있어서,
    상기 지지 링의 외측 직경은 상기 슬라이딩 링의 바디의 내측 직경보다 더 크고,
    상기 슬라이딩 링은 상기 수평 부분으로부터 연장되는 수직 부분을 더 포함하며,
    상기 수직 부분의 축은 상기 바디의 축에 평행한,
    프로세스 키트.
  6. 제5 항에 있어서,
    상기 수직 부분의 내측 직경은 상기 지지 링의 외측 직경보다 더 큰,
    프로세스 키트.
  7. 제6 항에 있어서,
    상기 수직 부분의 외측 직경은 상기 에지 링의 외측 직경보다 더 작은,
    프로세스 키트.
  8. 기판 지지부를 위한 프로세스 키트로서,
    내측 직경 및 외측 직경에 의해 정의된 바디를 갖는 슬라이딩 링 ― 상기 바디는 상기 바디를 통해 형성된 하나 또는 그 초과의 개구들을 갖고, 상기 하나 또는 그 초과의 개구들 각각은 상기 바디의 중앙 개구의 축에 평행한 축을 가짐 ―;
    반경방향 외측 부분 위로 상승된 반경방향 내측 부분을 갖는 계단형 상부 표면을 갖는 지지 링 ― 상기 지지 링은 상기 슬라이딩 링의 바디의 내측 직경보다 더 큰 외측 직경, 및 상기 지지 링을 통해 형성된 하나 또는 그 초과의 개구들을 갖고, 상기 지지 링을 통해 형성된 하나 또는 그 초과의 개구들 각각은 상기 슬라이딩 링의 바디를 통해 형성된 하나 또는 그 초과의 개구들 중 하나와 정렬됨 ―;
    평면 상부 표면 및 평면 하부 표면을 갖는 에지 링
    을 포함하며,
    상기 에지 링은,
    상기 지지 링의 외측 직경보다 더 작은 내측 직경; 및
    상기 지지 링의 외측 직경보다 더 큰 외측 직경
    을 갖는,
    프로세스 키트.
  9. 기판 지지부로서,
    정전 척 베이스;
    상기 정전 척 베이스 위에 위치된 퍽(puck); 및
    상기 기판 지지부를 위한 프로세스 키트
    를 포함하며,
    상기 프로세스 키트는,
    내측 직경 및 외측 직경에 의해 정의된 바디를 갖고, 상기 정전 척 베이스 주위에 위치된 슬라이딩 링 ― 상기 바디는 상기 바디를 통해 형성된 하나 또는 그 초과의 개구들을 갖고, 상기 하나 또는 그 초과의 개구들 각각은 상기 바디의 중앙 개구의 축에 평행한 축을 가짐 ―;
    반경방향 외측 부분 위로 상승된 반경방향 내측 부분을 갖는 계단형 상부 표면을 갖는 지지 링 ― 상기 지지 링은 상기 슬라이딩 링의 바디의 내측 직경보다 더 작은 외측 직경을 가짐 ―; 및
    평면 상부 표면 및 평면 하부 표면을 갖는 에지 링
    을 포함하고,
    상기 에지 링은,
    상기 지지 링의 외측 직경보다 더 작은 내측 직경; 및
    상기 지지 링의 외측 직경보다 더 큰 외측 직경
    을 갖는,
    기판 지지부.
  10. 제9 항에 있어서,
    상기 에지 링의 외측 직경은 상기 슬라이딩 링의 바디의 외측 직경보다 더 큰,
    기판 지지부.
  11. 제9 항에 있어서,
    상기 슬라이딩 링의 바디에 형성된 하나 또는 그 초과의 개구들은 적어도 3개의 개구들을 포함하는,
    기판 지지부.
  12. 제9 항에 있어서,
    상기 지지 링의 외측 직경은 상기 슬라이딩 링의 바디의 내측 직경보다 더 크며,
    상기 슬라이딩 링은,
    상기 바디의 상부 단부에 있는 수평 부분 ― 상기 수평 부분은 상기 바디로부터 반경방향 외측으로 연장됨 ―; 및
    상기 수평 부분으로부터 연장되는 수직 부분
    을 더 포함하고,
    상기 수직 부분의 축은 상기 바디의 축에 평행한,
    기판 지지부.
  13. 제12 항에 있어서,
    상기 수직 부분의 내측 직경은 상기 지지 링의 외측 직경보다 더 큰,
    기판 지지부.
  14. 제13 항에 있어서,
    상기 수직 부분의 외측 직경은 상기 에지 링의 외측 직경보다 더 작은,
    기판 지지부.
  15. 제9 항에 있어서,
    상기 지지 링의 외측 직경은 상기 슬라이딩 링의 바디의 내측 직경보다 더 크고,
    상기 지지 링은 상기 지지 링에 형성된 하나 또는 그 초과의 개구들을 포함하며,
    상기 하나 또는 그 초과의 개구들 각각은 상기 슬라이딩 링의 바디를 통해 형성된 하나 또는 그 초과의 개구들 중 하나와 정렬되는,
    기판 지지부.
KR1020180166527A 2017-12-21 2018-12-20 이동가능하고 제거가능한 프로세스 키트 KR102675852B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201762609044P 2017-12-21 2017-12-21
US62/609,044 2017-12-21

Publications (2)

Publication Number Publication Date
KR20190075849A true KR20190075849A (ko) 2019-07-01
KR102675852B1 KR102675852B1 (ko) 2024-06-14

Family

ID=67006508

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020180166527A KR102675852B1 (ko) 2017-12-21 2018-12-20 이동가능하고 제거가능한 프로세스 키트

Country Status (5)

Country Link
US (1) US11043400B2 (ko)
JP (1) JP7297440B2 (ko)
KR (1) KR102675852B1 (ko)
CN (3) CN209471945U (ko)
TW (2) TWM583125U (ko)

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108369922B (zh) 2016-01-26 2023-03-21 应用材料公司 晶片边缘环升降解决方案
US11075105B2 (en) 2017-09-21 2021-07-27 Applied Materials, Inc. In-situ apparatus for semiconductor process module
US11043400B2 (en) * 2017-12-21 2021-06-22 Applied Materials, Inc. Movable and removable process kit
US11201037B2 (en) * 2018-05-28 2021-12-14 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
US11935773B2 (en) 2018-06-14 2024-03-19 Applied Materials, Inc. Calibration jig and calibration method
JP7105666B2 (ja) * 2018-09-26 2022-07-25 東京エレクトロン株式会社 プラズマ処理装置
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
JP7134104B2 (ja) * 2019-01-09 2022-09-09 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理装置の載置台
KR20200112447A (ko) * 2019-03-22 2020-10-05 삼성전자주식회사 에지 링을 갖는 기판 처리 장치
US12009236B2 (en) 2019-04-22 2024-06-11 Applied Materials, Inc. Sensors and system for in-situ edge ring erosion monitor
JP7321026B2 (ja) * 2019-08-02 2023-08-04 東京エレクトロン株式会社 エッジリング、載置台、基板処理装置及び基板処理方法
JP2021027305A (ja) * 2019-08-09 2021-02-22 株式会社ディスコ プラズマエッチング装置
CN112542415B (zh) * 2019-09-20 2022-12-02 夏泰鑫半导体(青岛)有限公司 晶圆处理装置及半导体加工站
JP7465733B2 (ja) * 2019-09-26 2024-04-11 東京エレクトロン株式会社 基板支持器及びプラズマ処理装置
CN112563186A (zh) * 2019-09-26 2021-03-26 东京毅力科创株式会社 基片支承器和等离子体处理装置
KR20210042749A (ko) * 2019-10-10 2021-04-20 삼성전자주식회사 정전 척 및 상기 정전 척을 포함하는 기판 처리 장치
JP7412124B2 (ja) * 2019-10-18 2024-01-12 東京エレクトロン株式会社 基板処理システム及びエッジリングを交換する方法
CN112713075B (zh) * 2019-10-25 2024-03-12 中微半导体设备(上海)股份有限公司 等离子体隔离环、等离子体处理装置与基片处理方法
CN115428140A (zh) * 2020-02-24 2022-12-02 朗姆研究公司 具有用于边缘环高度管理的双升降机构的半导体处理室
TW202137326A (zh) * 2020-03-03 2021-10-01 日商東京威力科創股份有限公司 基板支持台、電漿處理系統及環狀構件之安裝方法
JP2021150424A (ja) * 2020-03-18 2021-09-27 キオクシア株式会社 エッジリング及びプラズマ処理装置
TW202213428A (zh) * 2020-06-05 2022-04-01 日商東京威力科創股份有限公司 電漿處理裝置
JP7455012B2 (ja) * 2020-07-07 2024-03-25 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理装置の載置台
CN114188205A (zh) * 2020-09-14 2022-03-15 中微半导体设备(上海)股份有限公司 一种静电装置、其所在的基片处理系统及其置换清洁方法
WO2022172827A1 (ja) * 2021-02-09 2022-08-18 東京エレクトロン株式会社 基板処理システム及び搬送方法
TWI745240B (zh) * 2021-02-22 2021-11-01 天虹科技股份有限公司 晶圓承載固定裝置及應用該晶圓承載固定裝置的薄膜沉積設備
CN114121583B (zh) * 2021-11-17 2024-03-29 长江存储科技有限责任公司 边缘刻蚀装置及晶圆处理方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008244274A (ja) * 2007-03-28 2008-10-09 Tokyo Electron Ltd プラズマ処理装置
US20120176692A1 (en) * 2011-01-07 2012-07-12 Tokyo Electron Limited Focus ring and substrate processing apparatus having same
US20170213758A1 (en) * 2016-01-26 2017-07-27 Applied Materials, Inc. Wafer edge ring lifting solution
KR20180072689A (ko) * 2015-10-21 2018-06-29 스미토모 오사카 세멘토 가부시키가이샤 정전 척 장치

Family Cites Families (109)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5267607A (en) 1991-05-28 1993-12-07 Tokyo Electron Limited Substrate processing apparatus
JP2638443B2 (ja) 1993-08-31 1997-08-06 日本電気株式会社 ドライエッチング方法およびドライエッチング装置
US5730801A (en) 1994-08-23 1998-03-24 Applied Materials, Inc. Compartnetalized substrate processing chamber
US5762714A (en) 1994-10-18 1998-06-09 Applied Materials, Inc. Plasma guard for chamber equipped with electrostatic chuck
JP2713276B2 (ja) 1995-12-07 1998-02-16 日本電気株式会社 半導体装置の製造装置およびこれを用いた半導体装置の製造方法
US5885428A (en) * 1996-12-04 1999-03-23 Applied Materials, Inc. Method and apparatus for both mechanically and electrostatically clamping a wafer to a pedestal within a semiconductor wafer processing system
US5851140A (en) 1997-02-13 1998-12-22 Integrated Process Equipment Corp. Semiconductor wafer polishing apparatus with a flexible carrier plate
DE59812627D1 (de) 1997-12-23 2005-04-07 Unaxis Balzers Ag Haltevorrichtung
US6773562B1 (en) 1998-02-20 2004-08-10 Applied Materials, Inc. Shadow frame for substrate processing
KR20000030944A (ko) * 1998-10-20 2000-06-05 윤종용 스퍼터 공정에 사용되는 리프트 후프
JP3234576B2 (ja) * 1998-10-30 2001-12-04 アプライド マテリアルズ インコーポレイテッド 半導体製造装置におけるウェハ支持装置
US6022809A (en) 1998-12-03 2000-02-08 Taiwan Semiconductor Manufacturing Company, Ltd. Composite shadow ring for an etch chamber and method of using
US6709547B1 (en) 1999-06-30 2004-03-23 Lam Research Corporation Moveable barrier for multiple etch processes
US6206976B1 (en) 1999-08-27 2001-03-27 Lucent Technologies Inc. Deposition apparatus and related method with controllable edge exclusion
US6375748B1 (en) 1999-09-01 2002-04-23 Applied Materials, Inc. Method and apparatus for preventing edge deposition
US6589352B1 (en) 1999-12-10 2003-07-08 Applied Materials, Inc. Self aligning non contact shadow ring process kit
TW506234B (en) 2000-09-18 2002-10-11 Tokyo Electron Ltd Tunable focus ring for plasma processing
US6391787B1 (en) 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
US7138014B2 (en) 2002-01-28 2006-11-21 Applied Materials, Inc. Electroless deposition apparatus
US6744212B2 (en) 2002-02-14 2004-06-01 Lam Research Corporation Plasma processing apparatus and method for confining an RF plasma under very high gas flow and RF power density conditions
US6776849B2 (en) 2002-03-15 2004-08-17 Asm America, Inc. Wafer holder with peripheral lift ring
KR100995715B1 (ko) * 2002-04-09 2010-11-19 파나소닉 주식회사 플라즈마 처리 방법 및 장치와 플라즈마 처리용 트레이
US6896765B2 (en) 2002-09-18 2005-05-24 Lam Research Corporation Method and apparatus for the compensation of edge ring wear in a plasma processing chamber
US7252738B2 (en) 2002-09-20 2007-08-07 Lam Research Corporation Apparatus for reducing polymer deposition on a substrate and substrate support
US7311784B2 (en) 2002-11-26 2007-12-25 Tokyo Electron Limited Plasma processing device
US6898558B2 (en) 2002-12-31 2005-05-24 Tokyo Electron Limited Method and apparatus for monitoring a material processing system
US20040261946A1 (en) 2003-04-24 2004-12-30 Tokyo Electron Limited Plasma processing apparatus, focus ring, and susceptor
KR100578129B1 (ko) 2003-09-19 2006-05-10 삼성전자주식회사 플라즈마 식각 장치
US7244336B2 (en) 2003-12-17 2007-07-17 Lam Research Corporation Temperature controlled hot edge ring assembly for reducing plasma reactor etch rate drift
US7338578B2 (en) 2004-01-20 2008-03-04 Taiwan Semiconductor Manufacturing Co., Ltd. Step edge insert ring for etch chamber
US20050263070A1 (en) 2004-05-25 2005-12-01 Tokyo Electron Limited Pressure control and plasma confinement in a plasma processing chamber
US7138067B2 (en) 2004-09-27 2006-11-21 Lam Research Corporation Methods and apparatus for tuning a set of plasma processing steps
KR100964775B1 (ko) 2005-10-12 2010-06-21 파나소닉 주식회사 플라즈마 처리장치 및 플라즈마 처리방법
CN101847574B (zh) 2006-01-31 2012-11-07 东京毅力科创株式会社 基板处理装置和暴露于等离子体的部件
US7572737B1 (en) 2006-06-30 2009-08-11 Lam Research Corporation Apparatus and methods for adjusting an edge ring potential substrate processing
US7589950B2 (en) 2006-10-13 2009-09-15 Applied Materials, Inc. Detachable electrostatic chuck having sealing assembly
CN101583736A (zh) 2007-01-19 2009-11-18 应用材料股份有限公司 浸没式等离子体室
US7968469B2 (en) 2007-01-30 2011-06-28 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor with variable height ground return path to control plasma ion density uniformity
US20080289766A1 (en) 2007-05-22 2008-11-27 Samsung Austin Semiconductor Lp Hot edge ring apparatus and method for increased etch rate uniformity and reduced polymer buildup
US7824146B2 (en) 2007-09-07 2010-11-02 Advanced Technology Development Facility Automated systems and methods for adapting semiconductor fabrication tools to process wafers of different diameters
US8999106B2 (en) 2007-12-19 2015-04-07 Applied Materials, Inc. Apparatus and method for controlling edge performance in an inductively coupled plasma chamber
KR102134276B1 (ko) 2008-04-16 2020-07-15 어플라이드 머티어리얼스, 인코포레이티드 웨이퍼 프로세싱 증착 차폐 컴포넌트들
US8398777B2 (en) 2008-05-02 2013-03-19 Applied Materials, Inc. System and method for pedestal adjustment
KR20100043844A (ko) * 2008-10-21 2010-04-29 주식회사 테스 플라즈마 처리 장치
US20100101729A1 (en) 2008-10-28 2010-04-29 Applied Materials, Inc. Process kit having reduced erosion sensitivity
JP5406067B2 (ja) 2009-02-16 2014-02-05 キヤノンアネルバ株式会社 トレイ及び真空処理装置
US9435029B2 (en) 2010-08-29 2016-09-06 Advanced Energy Industries, Inc. Wafer chucking system for advanced plasma ion energy processing systems
SG10201405040PA (en) 2009-08-31 2014-10-30 Lam Res Corp A local plasma confinement and pressure control arrangement and methods thereof
US8270141B2 (en) 2009-11-20 2012-09-18 Applied Materials, Inc. Electrostatic chuck with reduced arcing
JP5584517B2 (ja) 2010-05-12 2014-09-03 東京エレクトロン株式会社 プラズマ処理装置及び半導体装置の製造方法
JP5654297B2 (ja) 2010-09-14 2015-01-14 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US8633423B2 (en) 2010-10-14 2014-01-21 Applied Materials, Inc. Methods and apparatus for controlling substrate temperature in a process chamber
KR20120048879A (ko) * 2010-11-08 2012-05-16 주식회사 케이씨텍 클램프 링을 구비하는 세미배치 타입 원자층 증착장치
KR101896491B1 (ko) 2011-03-29 2018-09-07 도쿄엘렉트론가부시키가이샤 플라즈마 에칭 장치 및 플라즈마 에칭 방법
WO2012166264A2 (en) 2011-05-31 2012-12-06 Applied Materials, Inc. Dynamic ion radical sieve and ion radical aperture for an inductively coupled plasma (icp) reactor
JP5948026B2 (ja) 2011-08-17 2016-07-06 東京エレクトロン株式会社 半導体製造装置及び処理方法
KR101267459B1 (ko) 2011-09-08 2013-05-31 한국과학기술연구원 플라즈마 이온주입 장치 및 방법
US8933628B2 (en) 2011-10-28 2015-01-13 Applied Materials, Inc. Inductively coupled plasma source with phase control
US8988848B2 (en) 2011-12-15 2015-03-24 Applied Materials, Inc. Extended and independent RF powered cathode substrate for extreme edge tunability
JP5906429B2 (ja) * 2013-02-21 2016-04-20 パナソニックIpマネジメント株式会社 プラズマ処理装置及びプラズマ処理方法
US9287147B2 (en) 2013-03-14 2016-03-15 Applied Materials, Inc. Substrate support with advanced edge control provisions
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
JP6689020B2 (ja) * 2013-08-21 2020-04-28 東京エレクトロン株式会社 プラズマ処理装置
CN105493262B (zh) 2013-08-30 2019-02-15 应用材料公司 基板支撑系统
JP2015050156A (ja) 2013-09-04 2015-03-16 東京エレクトロン株式会社 基板載置台及びプラズマ処理装置
WO2015099892A1 (en) 2013-12-23 2015-07-02 Applied Materials, Inc. Extreme edge and skew control in icp plasma reactor
US9410249B2 (en) 2014-05-15 2016-08-09 Infineon Technologies Ag Wafer releasing
CN105336561B (zh) 2014-07-18 2017-07-21 中微半导体设备(上海)有限公司 等离子体刻蚀装置
JP6345030B2 (ja) 2014-08-11 2018-06-20 東京エレクトロン株式会社 プラズマ処理装置及びフォーカスリング
KR20160022458A (ko) 2014-08-19 2016-03-02 삼성전자주식회사 플라즈마 장비 및 이의 동작 방법
CN105789010B (zh) 2014-12-24 2017-11-10 中微半导体设备(上海)有限公司 等离子体处理装置及等离子体分布的调节方法
US11605546B2 (en) 2015-01-16 2023-03-14 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
US10658222B2 (en) * 2015-01-16 2020-05-19 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
US20170263478A1 (en) 2015-01-16 2017-09-14 Lam Research Corporation Detection System for Tunable/Replaceable Edge Coupling Ring
JP6456177B2 (ja) 2015-02-12 2019-01-23 株式会社ディスコ ウェーハ処理システム
US10163610B2 (en) 2015-07-13 2018-12-25 Lam Research Corporation Extreme edge sheath and wafer profile tuning through edge-localized ion trajectory control and plasma operation
US9761459B2 (en) 2015-08-05 2017-09-12 Lam Research Corporation Systems and methods for reverse pulsing
US9620376B2 (en) 2015-08-19 2017-04-11 Lam Research Corporation Self limiting lateral atomic layer etch
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
US9761414B2 (en) 2015-10-08 2017-09-12 Lam Research Corporation Uniformity control circuit for use within an impedance matching circuit
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US20170115657A1 (en) 2015-10-22 2017-04-27 Lam Research Corporation Systems for Removing and Replacing Consumable Parts from a Semiconductor Process Module in Situ
US10062599B2 (en) 2015-10-22 2018-08-28 Lam Research Corporation Automated replacement of consumable parts using interfacing chambers
US9881820B2 (en) 2015-10-22 2018-01-30 Lam Research Corporation Front opening ring pod
US10124492B2 (en) 2015-10-22 2018-11-13 Lam Research Corporation Automated replacement of consumable parts using end effectors interfacing with plasma processing system
US10985078B2 (en) 2015-11-06 2021-04-20 Lam Research Corporation Sensor and adjuster for a consumable
US9601319B1 (en) 2016-01-07 2017-03-21 Lam Research Corporation Systems and methods for eliminating flourine residue in a substrate processing chamber using a plasma-based process
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US9966231B2 (en) 2016-02-29 2018-05-08 Lam Research Corporation Direct current pulsing plasma systems
US20170278679A1 (en) 2016-03-24 2017-09-28 Lam Research Corporation Method and apparatus for controlling process within wafer uniformity
US11011353B2 (en) 2016-03-29 2021-05-18 Lam Research Corporation Systems and methods for performing edge ring characterization
US10312121B2 (en) 2016-03-29 2019-06-04 Lam Research Corporation Systems and methods for aligning measurement device in substrate processing systems
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US9852889B1 (en) 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring
JP6635888B2 (ja) 2016-07-14 2020-01-29 東京エレクトロン株式会社 プラズマ処理システム
US20180061696A1 (en) 2016-08-23 2018-03-01 Applied Materials, Inc. Edge ring or process kit for semiconductor process module
JP2018054500A (ja) 2016-09-29 2018-04-05 東京エレクトロン株式会社 位置検出システム及び処理装置
JP6812224B2 (ja) 2016-12-08 2021-01-13 東京エレクトロン株式会社 基板処理装置及び載置台
US9947517B1 (en) 2016-12-16 2018-04-17 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US10553404B2 (en) 2017-02-01 2020-02-04 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
JP6812264B2 (ja) 2017-02-16 2021-01-13 東京エレクトロン株式会社 真空処理装置、及びメンテナンス装置
US11404249B2 (en) 2017-03-22 2022-08-02 Tokyo Electron Limited Substrate processing apparatus
JP6656200B2 (ja) 2017-04-12 2020-03-04 東京エレクトロン株式会社 位置検出システム及び処理装置
KR102535916B1 (ko) 2017-04-26 2023-05-23 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
US11075105B2 (en) 2017-09-21 2021-07-27 Applied Materials, Inc. In-situ apparatus for semiconductor process module
JP7326275B2 (ja) 2017-12-01 2023-08-15 アプライド マテリアルズ インコーポレイテッド エッチング選択性の高いアモルファスカーボン膜
US11043400B2 (en) * 2017-12-21 2021-06-22 Applied Materials, Inc. Movable and removable process kit

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008244274A (ja) * 2007-03-28 2008-10-09 Tokyo Electron Ltd プラズマ処理装置
US20120176692A1 (en) * 2011-01-07 2012-07-12 Tokyo Electron Limited Focus ring and substrate processing apparatus having same
KR20180072689A (ko) * 2015-10-21 2018-06-29 스미토모 오사카 세멘토 가부시키가이샤 정전 척 장치
US20170213758A1 (en) * 2016-01-26 2017-07-27 Applied Materials, Inc. Wafer edge ring lifting solution

Also Published As

Publication number Publication date
CN210167343U (zh) 2020-03-20
JP2019114790A (ja) 2019-07-11
CN109950193A (zh) 2019-06-28
TWM583125U (zh) 2019-09-01
TWI795495B (zh) 2023-03-11
CN209471945U (zh) 2019-10-08
KR102675852B1 (ko) 2024-06-14
TW201929086A (zh) 2019-07-16
US11043400B2 (en) 2021-06-22
US20200234981A1 (en) 2020-07-23
JP7297440B2 (ja) 2023-06-26

Similar Documents

Publication Publication Date Title
KR102675852B1 (ko) 이동가능하고 제거가능한 프로세스 키트
US11887879B2 (en) In-situ apparatus for semiconductor process module
KR102617052B1 (ko) 인터페이싱 챔버들을 사용하는 소모성 부품들의 자동화된 교체
KR102664316B1 (ko) 플라즈마 프로세싱 시스템과 인터페이싱하는 엔드 이펙터들을 사용한 소모성 부품들의 자동화된 교체
KR102591660B1 (ko) 이동가능한 에지 링 설계들
KR20200066537A (ko) 에지 링 포지셔닝 및 센터링 피처들을 포함하는 플라즈마 시스 튜닝을 위한 교체가능한 에지 링 어셈블리 및/또는 접을 수 있는 에지 링 어셈블리
KR20170054253A (ko) 전면 개구 링 포드
US11101115B2 (en) Ring removal from processing chamber
US11887884B2 (en) Pre-loaded bowl mechanism for providing a symmetric radio frequency return path
CN115152010A (zh) 预防局部背面沉积的晶片升降销机构
CN117894659A (zh) 聚焦环、包括该聚焦环的衬底处理装置及半导体制造方法
TW202418451A (zh) 用於半導體製程模組的原位設備

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant