TWM583125U - 基板支撐件與用於基板支撐件的處理配件 - Google Patents

基板支撐件與用於基板支撐件的處理配件 Download PDF

Info

Publication number
TWM583125U
TWM583125U TW107217385U TW107217385U TWM583125U TW M583125 U TWM583125 U TW M583125U TW 107217385 U TW107217385 U TW 107217385U TW 107217385 U TW107217385 U TW 107217385U TW M583125 U TWM583125 U TW M583125U
Authority
TW
Taiwan
Prior art keywords
ring
outer diameter
support
edge
slip ring
Prior art date
Application number
TW107217385U
Other languages
English (en)
Inventor
安德列亞斯 史密德
丹尼斯M 庫薩
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TWM583125U publication Critical patent/TWM583125U/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge clamping, e.g. clamping ring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/6875Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Automation & Control Theory (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)
  • Telephone Function (AREA)
  • Input Circuits Of Receivers And Coupling Of Receivers And Audio Equipment (AREA)
  • Farming Of Fish And Shellfish (AREA)

Abstract

揭露了可移動並且可移除的處理配件。本揭露的各態樣大體涉及用於調整邊緣環位置並且用於移除或更換處理腔室的處理配件的一個或多個部件的方法和裝置。處理配件包括邊緣環、支撐環、滑環和其他自耗的或可降解的部件中的一個或多個。

Description

基板支撐件與用於基板支撐件的處理配件
本揭露的態樣大體係關於用於處理腔室中的邊緣環和/或支撐環更換的裝置和方法,諸如在半導體處理中所使用的彼等邊緣環和/或支撐環。
在諸如蝕刻腔室的處理腔室中,基板在靜電夾緊就位的同時進行蝕刻。通常,稱作邊緣環、處理環、支撐環等等的一個或多個圓形零件被定位為圍繞基板的外徑以便保護靜電卡盤的上表面不被蝕刻化學物質蝕刻或者便於基板的處理。這些環是由幾種不同的材料製成並且可以具有不同的形狀,此兩者皆影響基板周邊附近的處理均勻性。在處理期間,隨著時間推移蝕刻這些環,從而導致形狀變化以及處理均勻性的變化。
為解決由於劣化所引起的處理均勻性的變化,根據時間表來更換這些環。傳統上,為了更換這些環中的一個,打開處理腔室以允許操作者接近內部的環。然而,這種過程耗時,並且由於處理腔室的通風而可能需要長達24小時才能使處理恢復線上。
因此,需要更換處理腔室內的自耗部件的新方法和裝置。
本揭露的各態樣大體係關於用於處理腔室內的諸如處理配件環和/或支撐環和/或邊緣環的自耗零件更換的裝置和方法。
在一個示例中,一種用於基板支撐件的處理配件包含具有由內徑和外徑限定的主體的滑環,主體具有形成為穿過其中的一個或多個開口,其中一個或多個開口中的每一個可以具有與主體的中心開口的軸線平行的軸線。處理配件還包括具有其中徑向向內的部分被抬升到徑向向外的部分上方的階梯狀上表面的支撐環,支撐環外徑小於滑環的主體的內徑。處理配件進一步包括具有平坦上表面和平坦下表面的邊緣環,邊緣環具有:小於支撐環的外徑的內徑,以及大於支撐環的外徑的外徑。
在另一個示例中,一種用於基板支撐件的處理配件包含具有由內徑和外徑限定的主體的滑環,主體具有形成為穿過其中的一個或多個開口,其中一個或多個開口中的每一個可以具有與主體的中心開口的軸線平行的軸線。處理配件還包括具有其中徑向向內的部分被抬升到徑向向外的部分上方的階梯狀上表面的支撐環,支撐環外徑大於滑環的所述主體的內徑,以及形成為穿過支撐環的一個或多個開口,其中形成為穿過支撐環的一個或多個開口中的每一個可以與形成為穿過滑環的主體的一個或多個開口中的一個對準。處理配件進一步包括具有平坦上表面 和平坦下表面的邊緣環;邊緣環具有小於支撐環的外徑的內徑,以及大於支撐環的外徑的外徑。
在另一個示例中,一種基板支撐件包含靜電卡盤基座、定位在靜電卡盤基座上方的圓盤、以及用於基板支撐件的處理配件。用於基板支撐件的處理配件包括具有由內徑和外徑限定的主體的滑環,主體具有形成為穿過其中的一個或多個開口,其中一個或多個開口中的每一個可以具有與主體的中心開口的軸線平行的軸線。處理配件還包括具有其中徑向向內的部分被抬升到徑向向外的部分上方的階梯狀上表面的支撐環,支撐環外徑小於滑環的主體的內徑。處理配件進一步包括具有平坦上表面和平坦下表面的邊緣環,邊緣環具有:小於支撐環的外徑的內徑,以及大於支撐環的外徑的外徑。
在另一個示例中,一種方法包含垂直向上致動複數個升降桿,每一個升降桿包括其上部部分處的第一直徑和其下部部分處的大於第一直徑的第二直徑,所述致動包括導引升降桿的上部部分穿過支撐環中的對應開口;垂直地致動定位在支撐環上方的邊緣環;將邊緣環傳送到載體;以及從處理腔室移除邊緣環。
107‧‧‧處理腔室
108‧‧‧埠
113‧‧‧載體
140‧‧‧基板支撐件
141‧‧‧靜電卡盤基座
142‧‧‧圓盤
143‧‧‧基板
145‧‧‧支撐環
146‧‧‧徑向向內的部分
147‧‧‧徑向向外的部分
148‧‧‧下表面
149‧‧‧滑環
150‧‧‧升降桿
151‧‧‧開口
152‧‧‧石英管
153‧‧‧襯墊
154‧‧‧電漿遮罩物
155‧‧‧石英環
156‧‧‧環形凹槽
157‧‧‧階梯狀上表面
158a‧‧‧徑向向內的部分
158b‧‧‧徑向向外的部分
159‧‧‧上部拐角
160‧‧‧階梯狀表面
161‧‧‧邊緣環
162a‧‧‧平坦上表面
162b‧‧‧平坦下表面
163‧‧‧升降桿
164‧‧‧機器人刀片
170‧‧‧內邊緣
171‧‧‧外邊緣
180‧‧‧下部部分
182‧‧‧垂直邊緣
183‧‧‧內邊緣
184‧‧‧滑環149的外邊緣
185‧‧‧中心開口
186‧‧‧主體
187‧‧‧內徑
188‧‧‧外徑
190‧‧‧側壁
192‧‧‧下部部分
193‧‧‧外邊緣
194‧‧‧滑動環149的上部末端
196‧‧‧升降桿150的上部末端
197‧‧‧徑向向外的邊緣
198‧‧‧徑向向內的邊緣
240‧‧‧基板支撐件
245‧‧‧支撐環
249‧‧‧滑環
255‧‧‧石英環
261‧‧‧邊緣環
262b‧‧‧下表面
265a‧‧‧下表面
266‧‧‧延伸部
267a‧‧‧水平部分
267b‧‧‧垂直部分
268‧‧‧垂直間隙
269‧‧‧徑向內側的部分
271‧‧‧外邊緣
283‧‧‧內邊緣
286‧‧‧主體
293‧‧‧外邊緣
294‧‧‧上部末端
295‧‧‧內邊緣
297‧‧‧外邊緣
313‧‧‧載體
340‧‧‧基板支撐件
345‧‧‧支撐環
348‧‧‧下表面
350‧‧‧升降桿
351‧‧‧開口
361‧‧‧緣環
370‧‧‧開口
371a‧‧‧下部部分
371b‧‧‧上部部分
372‧‧‧肩部
375‧‧‧多階梯表面
376a‧‧‧第一階梯表面
376b‧‧‧第二階梯表面
376c‧‧‧側壁
410‧‧‧邊緣環
414a‧‧‧平行邊緣
414b‧‧‧平行邊緣
415a‧‧‧彎曲邊緣
415b‧‧‧彎曲邊緣
416‧‧‧半圓形板
417‧‧‧中心開口
418a‧‧‧半圓形開口
418b‧‧‧半圓形開口
419‧‧‧第一複數個插座
420‧‧‧邊緣
425‧‧‧插座
430a‧‧‧第一弧形支撐結構
430b‧‧‧第二弧形支撐結構
431‧‧‧公延伸部
432‧‧‧下表面
433‧‧‧支撐表面
434‧‧‧垂直壁
440‧‧‧上表面
444‧‧‧上表面
549‧‧‧機器人手腕
600‧‧‧處理系統
601‧‧‧工廠介面
602‧‧‧盒
603a‧‧‧第一真空埠
603b‧‧‧第一真空埠
604a‧‧‧脫氣腔室
604b‧‧‧脫氣腔室
605a‧‧‧第二真空埠
605b‧‧‧第二真空埠
606‧‧‧傳送腔室
607‧‧‧處理腔室
608‧‧‧埠
609‧‧‧控制器
610‧‧‧邊緣環
611‧‧‧廠介面機器人
612‧‧‧傳送腔室機器人
CRF1‧‧‧第一路徑
CRF2‧‧‧第二路徑
為了可以詳細地理解本揭露的上述特徵的方式,可以參考實施例獲得以上簡要概述的本揭露的更具體描述,一些實施例在附圖中示出。然而,應注意,附圖僅 僅說明示例性實施例,並且因此不應被視為對範疇的限制,因為本揭露可以允許其他等效的實施例。
圖1A至圖1J是根據本揭露的各態樣的在從處理腔室移除環期間處理腔室的局部示意性橫截面圖。
圖1K是根據本揭露的一態樣的在圖1A至圖1J中示出的滑環的俯視平面圖。
圖2A至圖2B是根據本揭露的另一態樣的在環移除操作期間的基板支撐件的示意性局部視圖。
圖3A至圖3C是根據本揭露的另一態樣的在環移除操作期間的基板支撐件的示意性局部視圖。
圖4A是根據本揭露的一態樣的載體的示意性俯視平面圖。
圖4B是圖4A的載體的示意性仰視平面圖。
圖5A是在其上支撐環的載體的示意性俯視平面圖。
圖5B是圖5A的示意性橫截面圖。
圖6示出了根據本揭露內容的一態樣的處理系統。
為了便於理解,在可能的情況下,使用相同的附圖標記來指示圖中共有的相同元件。構想到,一個實施例的元件和特徵可以有利地併入其他實施例中而無需進一步敘述。
本揭露的各態樣大體係關於使用載體來移除或更換處理腔室的處理配件的一個或多個部件。處理配件的一個或多個部件包括邊緣環、支撐環、滑環和其他自耗的或可降解的部件。
圖1A至1J是根據本揭露的各態樣的在從處理腔室107移除邊緣環161期間的處理腔室107的局部示意性橫截面圖。雖然習知的處理腔室需要由操作者進行拆卸以更換諸如邊緣環161的已腐蝕部件,但是處理腔室107被配置成便於在不拆卸處理腔室107的情況下更換邊緣環161。經由將邊緣環161傳送穿過處理腔室107的埠108而避免處理腔室107的拆卸。
處理腔室107可以是蝕刻腔室、沉積腔室(包括原子層沉積、化學氣相沉積、物理氣相沉積或其電漿增強版本)、退火腔室、回火腔室等等中的任何一個,其利用其中的基板支撐件140。示例性處理腔室包括由加利福尼亞州聖克拉拉市的應用材料公司(Applied Materials,Inc.)生產的彼等處理腔室。
處理腔室107中包括用於在其上接收基板143的基板支撐件140(在圖1B中示出),以及用於基板143的進入和離開的埠108。基板支撐件140包括例如靜電卡盤以便於將基板夾持在基板支撐件140的上表面上。圖1B是圖1A的局部放大圖。
如圖1B所示,基板支撐件140包括靜電卡盤基座141,其上設置有圓盤142。圓盤由礬土或氧化鋁形 成。基板143(以虛線示出)(諸如200毫米、300毫米或450毫米的半導體晶圓)可以定位在圓盤142上,並經由靜電夾持緊固於其上,以便於處理腔室107中的基板143的處理。
支撐環145定位在圓盤142周圍並與圓盤142接觸。支撐環145擱置在形成於圓盤142的徑向外側處和上部邊緣處的階梯狀表面中。支撐環145包括具有徑向向內的部分146的階梯狀上表面,徑向向內的部分146經抬升而在徑向向外的部分147上方。支撐環145的下表面148與徑向向內的部分146和徑向向外的部分147平行。在一個示例中,下表面148與形成於圓盤142中的階梯狀表面的下部部分180接觸。在另一個示例中,下表面148與形成在圓盤142中的階梯表面的下部部分180接觸,並且另外,支撐環145的徑向向內的側壁190與形成在圓盤142周圍的階梯狀表面的垂直邊緣182接觸。
滑環149被設置為沿圓周圍繞靜電卡盤基座141、圓盤142和支撐環145。滑環149被配置為容納複數個升降桿150,升降桿150被設置在形成於滑環149中的相應開口151中。滑環149和升降桿150中的每一個可以經由致動器(未示出)(諸如步進電動機)彼此獨立地垂直致動。石英管152被設置在滑環149的徑向外側處。襯墊153被設置在石英管152的徑向外側處。電漿遮罩物154定位在襯墊153的上表面上,環繞石英管152的上部末端。電漿遮罩物154限制處理腔室107內的電漿流動。
如圖1B中所示,由支撐環145的外邊緣193限定的外徑小於由滑環149的內邊緣183限定的內徑。
石英環155定位在電漿遮罩物154和石英管152上。環形凹槽156形成在石英環155的下表面中並且接合石英管152的階梯狀上表面157。石英環155的下表面的徑向向內的部分158a定位成比石英環155的下表面的徑向向外的部分158b低(例如,從石英環155的上表面進一步延伸)。所包括的石英環155的徑向向外的上部拐角159是圓形的,然而,也可以構想其他構造。階梯狀表面160形成在石英環155的與上部拐角159相對的徑向向內的上部拐角上。階梯狀表面160的下部部分192接合邊緣環161。
邊緣環161包括平坦上表面162a和平坦下表面162b,大體彼此平行。除了接合階梯狀表面160之外,邊緣環161的平坦下表面162b還接合支撐環145的徑向向外的部分147的上表面。在處理期間,滑動環149的上部末端194或升降桿150的上部末端196也可以接合邊緣環161的平坦下表面162b,以使邊緣環161從石英環155和支撐環145升高。邊緣環161的升高可用以調整與基板143的徑向向外邊緣相鄰的電漿殼層,例如,經由補償邊緣環161的腐蝕。在一個示例中,邊緣環161可以升高高達約2毫米(mm)的距離。然而,在一定量的時間之後,邊緣環161可能被腐蝕到需要更換邊緣環161的點。 本揭露的各態樣有助於經由埠108移除和更換邊緣環161,使得更換邊緣環161不需要拆卸處理腔室107。
如圖1B中所示,由邊緣環161的內邊緣170限定的內徑小於由支撐環145的外邊緣193限定的外徑。由邊緣環161的外邊緣171限定的外徑也大於由支撐環145的外邊緣193限定的外徑。此外,由邊緣環161的外邊緣171限定的外徑大於由滑環149的外邊緣184限定的外徑。
為了移除邊緣環161,並且參考圖1C和圖1D,邊緣環161經由升降桿150升高到埠108上方的高度,以提供用於接收在邊緣環161下方的載體113(圖1D中以虛線示出)的空間。載體經由機器人刀片、末端執行器等等定位在邊緣環161下方(為了清楚起見在圖1D中未示出)。
現在參考圖1E,一旦載體113位於邊緣環161下方(例如,在基板支撐件140上方並與其同心對準),則複數個升降桿163垂直向上致動以將載體113從機器人刀片處提升(為了清楚起見在圖1E中未示出)。一旦提升載體113,就從處理腔室107移除機器人刀片。升降桿163位於升降桿150的徑向內側處。在一個示例中,使用三個升降桿163來接合載體113。
在載體113被支撐在升降桿163上的情況下,升降桿150下降以將邊緣環161定位在載體113上,如圖1F中所示。升降桿150繼續下降到基板支撐件140 中,同時邊緣環161保持在載體113上,如圖1G中所示。在升降桿150凹入基板支撐件中的情況下,升降桿163向上升高以抬升載體113和邊緣環161,如圖1H中所示。升降桿163升高到足以允許機器人刀片164進入處理腔室107的高度,如圖1H中所示。機器人刀片164定位在載體113和邊緣環161下方,以便於將載體113放置在機器人刀片164上。
一旦機器人刀片164定位在載體113下方,則升降桿163下降以將載體113定位在機器人刀片164上,如圖1I中所示。升降桿163的額外向下移動減弱了機器人刀片164和升降桿163之間的干擾。在升降桿163離開機器人刀片164的情況下,機器人刀片164以及載體113、邊緣環161和可選的機器人手腕549準備好經由埠108從處理腔室107移除,如圖1J中所示。隨後,載體113移動穿過機器人刀片164上的埠108,並且根據圖6的示例進行傳送。構想到可以經由相反操作將新的邊緣環161引入處理腔室107中。
圖1A至圖1J示出了邊緣環移除處理的一個示例。然而,也構想其他示例。例如,參考圖1E,構想到在載體113被支撐在機器人刀片上的同時邊緣環161可以降低到載體113上。升降桿150可以接著下降以提供空間,並且其上具有載體113和邊緣環161的機器人可以經由埠108從腔室移除。在這個示例中,不需要載體首先被傳送到升降桿163。
本文中的各態樣並不限於由特定材料形成的腔室硬體或者處理部件。例如,構想到,邊緣環161、支撐環145和滑環149以及襯墊153可以由一種或多種材料形成,包括石英、氮化矽、氮化鋁、石墨、碳化矽或其他陶瓷材料。在一個示例中,邊緣環161和支撐環145由碳化矽形成。也構想其他材料。
圖1K是根據本揭露的一態樣的在圖1A至圖1J中示出的滑環149的俯視平面圖。滑環149是圓形部件,其具有由具有內徑187和外徑188的主體186所限定的中心開口185。一個或多個開口151(示出為三個)形成為在主體186中或穿過主體186,以在其中容納升降桿150(在圖1B中示)。開口151與中心開口185軸向地對準。在所示的示例中,開口151具有與中心開口185的軸線平行的軸線。
返回參考圖1B,根據本文中的各態樣,電漿殼層是可調整的。例如,在處理期間,邊緣環161可能會被腐蝕,這會影響電漿殼層的平坦性,特別是在基板143的徑向向外的邊緣處(例如,在鄰近邊緣環161的上方)。為了補償腐蝕,可以經由將滑環149致動到選定高度來升高邊緣環161。
為了進一步便於電漿殼層可調性,邊緣環161可以耦接到處理腔室107的RF源。在一個示例中,邊緣環161經由第一路徑CRF1和第二路徑CRF2耦接到RF源。第一路徑CRF1從靜電卡盤基座141經由圓盤142和支撐環145 耦接,而第二路徑CRF2經由滑環149從靜電卡盤基座141耦接。在這個示例中,滑環149可以由導電材料(諸如像鋁之類的金屬)形成,以便於經由第二路徑CRF2的恆定或幾乎恆定的RF耦接。經由第二路徑CRF2的恆定RF耦接導致跨基板143的表面的恆定電漿殼層厚度。相反,當邊緣環161升高時,邊緣環161的基於桿或不導電的基於套管的調整導致RF去耦接,這可能導致電漿殼層塌陷,從而導致電漿和處理的不均勻性。
另外,本揭露的各態樣經由選擇RF電力/功率以使得第二路徑CRF2的電容遠大於電漿殼層的電容而進一步促進恆定的RF耦接。經由將第二路徑CRF2的電容維持在遠大於電漿殼層的電容,維持RF耦接,這導致在處理期間電漿殼層的均勻性。此外,當將第二路徑CRF的電容維持在遠大於電漿殼層的電容時,邊緣環161上的電壓保持恆定。為了實現沿第二路徑CRF2的所需電容,可以選擇靜電卡盤基座141的徑向向外的邊緣197與滑環149的徑向向內的邊緣198之間的間隙的寬度以調整電容。也可以調整相對部件內或相對部件之間的其他間隙以進一步調諧電容和/或RF路徑。儘管在圖1A至圖1K、圖2A、圖2B、圖3A至圖3C、圖4A、圖4B、圖5A、圖5B和圖6中的某些部件之間示出了間隙,但是構想到在一些實施例中可以消除間隙,使得部件中的一個或多個彼此接觸。另外,儘管在圖1A至圖1K、圖2A、圖2B、圖3A至圖3C、圖4A、圖4B、圖5A、圖5B和圖6中示出某些部件彼此 接觸,但是構想到這些部件之間可以有間隙。例如,可以包括間隙以防止或減少顆粒產生的可能性、允許部件的移動、或減小運動期間部件之間的摩擦。為了進一步實現所需的電容和RF耦接,邊緣環161可具有小於50ohm.cm的電阻率,諸如約1ohm.cm至約40ohm.cm。在這個示例中,邊緣環161可以由矽或者碳化矽形成。
儘管未示出,但是構想到處理腔室107還可以包括一個或多個氣體擴散器、電漿發生器或其他部件(未示出)以便於基板處理。為此,除非本文另有明確說明,否則基板支撐件140並不限於與特定腔室部件一起使用。
圖2A和圖2B是根據本揭露的另一態樣的在環移除操作期間的基板支撐件240的示意性局部視圖。基板支撐件240類似於基板支撐件140(圖1B中所示),然而,基板支撐件240被配置成除了移除邊緣環261之外還允許將支撐環245與邊緣環261同時地移除。
為了便於移除支撐環245和邊緣環261兩者,支撐環245徑向向外延伸到升降桿150上方的位置(示出了一個)。因此,當升高時,升降桿150接合支撐環245的下表面265a。升降桿150的持續垂直移動使支撐環245以及定位在支撐環245上的邊緣環261抬升。一旦支撐環245和邊緣環261升高到圓盤142上方的足夠高度,載體113就定位成接收支撐環245和邊緣環261,如圖2B中所示。支撐環245和定位在其上的邊緣環261 被設置在載體113上,並且接著載體113從處理腔室被移除,如參考圖1E至圖1J所類似地描述。
為了便於在處理期間調整電漿殼層而不干擾支撐環245,滑環249可以被定位以升高邊緣環261,如圖2A中所示。滑環249具有主體286。滑環249類似於上文所述的滑環149,但包括延伸部266。延伸部266位於滑環249的上部末端處並且從滑環249的主體286徑向向外延伸。延伸部266包括水平部分267a和垂直部分267b。水平部分267a從滑環249的主體286徑向向外延伸。垂直部分267b從水平部分267a向上延伸。垂直部分267b也與滑環249的主體286軸向地對準,如圖2A中所示。在所示的示例中,垂直部分267b具有與滑環249的主體286的軸線平行的軸線。滑環249的致動使延伸部266與邊緣環261的下表面262b接觸以便於抬升邊緣環261。當滑環249處於最低位置時,在支撐環145的下表面265a與滑環249的上部末端294之間存在垂直間隙268。垂直間隙268可以允許滑環249的一些垂直移動而不使滑環249接觸支撐環245。在一個示例中,垂直間隙268大於約2mm。如圖2A中所示,由支撐環245的外邊緣293限定的外徑可以大於由滑環249的內邊緣283限定的內徑。由垂直部分267b的內邊緣295限定的內徑可以大於由支撐環245的外邊緣293限定的外徑。並且,由垂直部分267b的外邊緣297限定的外徑可以小於由邊緣環261的外邊緣271限定的外徑。為了容納滑環249的延 伸部266,構想到使用石英環255。石英環255類似於石英環155(圖1B中所示),然而,石英環255包括增大的內徑。因此,位於環形凹槽156的徑向內側的部分269包括與石英環155的對應部分相比減小的寬度W。
圖3A至圖3C是根據本揭露的另一態樣的在環移除操作期間的基板支撐件340的示意性局部視圖。基板支撐件340類似於基板支撐件240,但允許邊緣環361和支撐環345的獨立移除和/或安裝。滑環349包括形成於滑環349中的一個或多個開口351。為了便於邊緣環361和支撐環345的獨立移除和/或安裝,支撐環345包括形成於其中的複數個開口370。每個開口370可以與相應的升降桿350對準以允許升降桿350穿過其中。升降桿350類似於上文所述的升降桿150,然而,升降桿350包括具有第一直徑的下部部分371a和具有小於第一直徑的第二直徑的上部部分371b。第一直徑小於開口370的直徑,而第二直徑大於開口370的直徑。因此,上部部分371b能夠被容納在開口370內,而下部部分371a不能被容納在開口370內。第一直徑在肩部372處過渡到第二直徑。如圖3A中所示,形成在支撐環345中的開口370中的每一個可以與形成在滑環349中的開口351中的一個對準。
參考圖3B和圖3C,邊緣環361能夠獨立於支撐環345被移除。當使用比支撐環345被腐蝕得更快的邊緣環361時,獨立移除是有益的。因此,當移除已被腐蝕 的邊緣環361時,不需要移除和/或更換仍具有剩餘使用壽命的支撐環345。因此,節省了時間和材料。
圖3B示意性地示出了邊緣環361的移除。為了移除邊緣環361,升降桿350穿過支撐環345中的開口370向上致動,以使邊緣環361從基板支撐件340升高。在所示的示例中,邊緣環361的致動不引起支撐環345移動。升降桿350升高到第一高度,所述第一高度為機器人刀片(圖3B中未示出)和在邊緣環361下方的載體313提供空間。載體313類似於載體113,但包括圍繞其周邊的多階梯表面375。多階梯表面375包括用於支撐其上的邊緣環361的第一階梯表面376a,和用於支撐其上的支撐環345的第二階梯表面376b。第一階梯表面376a的徑向向內的側壁376c可以具有大約等於邊緣環361的內徑的外徑,諸如,為邊緣環361的內徑的約95%至約100%。例如,第一階梯表面376a的徑向向內的側壁376c的外徑可以是邊緣環361的內徑的約98%至100%,或約99%至100%。類似地,第二階梯表面376b具有約等於支撐環345的內徑的外徑;諸如,為支撐環345的內徑的約95%至100%,或約98%至100%,或約99%至100%。
在載體313定位在邊緣環361下方的情況下,邊緣環361被傳送到載體313並從處理腔室107移除,如上文參考圖1E至圖1J類似地描述。在載體313和邊緣環361從處理腔室107移除的情況下,升降桿350被 進一步向上致動。升降桿350的肩部372接合支撐環345的下表面348。升降桿350的持續升高將支撐環345從基板支撐件340抬升到足以在支撐環345與基板支撐件340之間容納載體313的高度,如圖3C中所示。構想到,可以選擇上部部分371b和下部部分371a的長度,以提供邊緣環361和支撐環345在處理腔室內的所需定位,同時仍然允許足夠的運動範圍。一旦支撐環345被抬升,載體313就定位在基板支撐件340上方以在其階梯表面376b上接收支撐環345。從處理腔室107移除載體313和支撐環345,如上文參考圖1E至圖1J類似地描述。
圖4A是根據本揭露的一態樣的載體113的示意性俯視平面圖。圖4B是圖4A的載體113的示意性仰視平面圖。載體113是半圓形板416,其具有由兩個平行邊緣414a、414b和連接兩個平行邊緣414a、414b的兩個相對的彎曲邊緣415a、415b所限定的周邊。彎曲邊緣415a、415b便於定位在其上的邊緣環的側向支撐,而兩個平行邊緣414a、414b允許將載體113容納在最初未設計成在其中容納載體113的處理腔室中。例如,兩個平行邊緣414a、414b可便於在處理腔室內致動升降桿(諸如升降桿150)而不會在載體113位於處理腔室內時干擾載體113。載體113還包括第一弧形支撐結構430a和第二弧形支撐結構430b。
半圓形板416包括中心開口417和圍繞中心開口417同心地定位的一個或多個半圓形開口(示出三 個)418a。另外的半圓形開口418b圍繞一個或多個半圓形開口418a同心地定位。半圓形開口418a、418b促進了載體113重量的減小,從而允許載體113用在最初未設計為用於搬運超過半導體晶圓重量的重量的現有傳送設備上。在一個示例中,半圓形板416由一種或多種材料形成,其包括碳纖維、石墨、碳化矽、石墨塗覆的碳化矽、氮化矽、氧化矽、礬土等等。也構想到其他材料。
半圓形板416還包括設置在其中的第一複數個插座419。第一複數個插座419的被尺寸設定和被配置使得在其中接收升降桿(諸如升降桿163)以便於在處理腔室內致動載體113。第一複數個插座419各自位於距半圓形板416的中心相同的徑向距離處。在一個示例中,第一複數個插座419以一半徑定位,該半徑大於半圓形開口418a的半徑但小於半圓形開口418b的半徑。
半圓形板416還包括設置在其中的第二複數個插座425(示出三個)。插座425各自被配置成接合支撐結構,諸如機器人刀片。經由支撐結構接合插座425減少了或防止了在載體113的傳送期間載體113與支撐結構之間的相對移動。例如,支撐結構可以包括待接收在插座425內的對應公插頭。
插座419、425中的每一個可以由金屬、碳化矽、石墨、礬土、氮化矽、氧化矽、聚對苯二甲酸乙二酯或陶瓷材料中的一種或多種形成。也構想到其他材料。在一個示例中,插座419、425由軟聚合物材料形成,諸如 Vespel®、Ultem®、縮醛、PTFE或諸如碳化矽的陶瓷材料,以減少微粒的產生。
圖5A是在其上支撐邊緣環410的載體113的示意性俯視平面圖。圖5B是圖5A的示意性橫截面圖。如圖5A和圖5B中所示,邊緣環410被設置在第一弧形支撐結構430a和第二弧形支撐結構430b上並由第一弧形支撐結構430a和第二弧形支撐結構430b支撐。邊緣環410的下表面432接觸支撐表面433,而邊緣環410的徑向向內的邊緣420接觸第一弧形支撐結構430a和第二弧形支撐結構430b的垂直壁434。在所示出的示例中,邊緣環410內徑小於第一弧形支撐結構430a和第二弧形支撐結構430b的外徑,並且邊緣環410外徑大於第一弧形支撐結構430a和第二弧形支撐結構430b的外徑。另外,邊緣環410的上表面440被設置在第一弧形支撐結構430a和第二弧形支撐結構430b的上表面444上方。在一個示例中,第一弧形支撐結構430a和第二弧形支撐結構430b中的一個或兩個可以包括諸如平坦表面的特徵,用於接合靜電卡盤或其他基板支撐件以促進與其對準。
在圖4B所示的示例中,第一弧形支撐結構430a和第二弧形支撐結構430b(均在圖4A中示出)中的每一個包括公延伸部431,其接合形成為穿過半圓形板416的開口(圖4B中未示出)。在一個示例中,開口和公延伸部431以及第一弧形支撐結構430a和第二弧形支撐結構430b定位在插座425的徑向外側。第一弧形支撐 結構430a和第二弧形支撐結構430b中的每一個的曲率半徑可約等於彎曲邊緣415a、415b的曲率半徑。
圖6示出了根據本揭露的一態樣的處理系統600。處理系統600包括工廠介面601,複數個盒602可以耦接到工廠介面601以用於將基板傳送到處理系統600中。處理系統600還包括將工廠介面601耦接到相應的脫氣腔室604a、604b的第一真空埠603a、603b。第二真空埠605a、605b耦接到相應的脫氣腔室604a、604b並被設置在脫氣腔室604a、604b與傳送腔室606之間,以便於將基板傳送到傳送腔室606中。傳送腔室606包括設置於其周圍並與其耦接的複數個處理腔室607。處理腔室607經由相應的埠608,諸如狹縫閥等等,耦接到傳送腔室606。控制器609控制處理系統600的各個態樣。
圖6示意性性地示出了邊緣環610至處理腔室607中的傳送。根據本揭露的一個態樣,邊緣環610經由工廠介面機器人611從複數個盒602中的一個移除,該工廠介面機器人611位於工廠介面601中或者直接裝載到工廠介面601中。工廠介面機器人611將邊緣環611傳送穿過第一真空埠603a、603b中的一個並傳送到相應的脫氣腔室604a、604b中。位於傳送腔室606中的傳送腔室機器人612穿過第二真空埠605a或605b從脫氣腔室604a、604b中的一個移除邊緣環610。傳送腔室機器人612將邊緣環610移動到傳送腔室606中,其中邊緣環 610可以經由相應的埠608傳送到所需的處理腔室607。雖然為了清楚起見未在圖6中示出,但是當邊緣環610定位在載體113上時發生邊緣環610的傳送。邊緣環610從處理腔室607的移除以相反的次序發生。
圖6示出了邊緣環傳送的一個示例,然而,也構想到其他示例。例如,預期邊緣環610可以手動地裝載到傳送腔室606中。邊緣環610可以經由傳送腔室機器人612從傳送腔室606裝載到處理腔室607中。或者或另外,邊緣環可以裝載在支撐單元中。與所示出的支撐單元相反,額外支撐單元可以定位成與工廠介面601通信。當使用兩個支撐單元或多個盒602時,構想到一個SSP或盒602可以用於未經處理的邊緣環610,而另一個支撐單元或盒602可以用於接收已處理的環610。本文所述的益處包括在不拆卸腔室的情況下移除腔室硬體。因此,可以執行預防性維護而減少停機時間。
雖然圖6示出了邊緣環610經由處理系統600的傳送,但是構想到其他自耗零件(諸如處理環、支撐環等等)可以以類似的方式進行傳送。
在本揭露的一個示例中,一種方法包含:垂直向上致動複數個升降桿,每一個升降桿包括其上部部分處的第一直徑和其下部部分處的大於第一直徑的第二直徑,所述致動包括導引升降桿的上部部分穿過支撐環中的對應開口;垂直地致動定位在支撐環上方的邊緣環;將邊緣環傳送到載體;以及從處理腔室移除邊緣環。在另一個 示例中,所述方法可以進一步包含:在從處理腔室移除了邊緣環之後,進一步向上致動升降桿以使支撐環與具有第二直徑的升降桿的下部部分接合。
在另一個示例中,所述方法可以進一步包含:提升支撐環連同升降桿的下部部分,以及將支撐環設置在空的載體上。在方法的另一個示例中,垂直地致動邊緣環可能不會引起支撐環移動。
雖然前文針對本揭露的實施例,但是可以在不脫離本揭露內容的基本範疇的情況下設計本揭露的其他和進一步的實施例,並且本揭露內容的範疇由隨附的申請專利範圍確定。

Claims (20)

  1. 一種用於一基板支撐件的處理配件,包含: 一滑環,具有由一內徑和一外徑限定的一主體,該主體具有形成為穿過其中的一個或多個開口,其中該一個或多個開口中的每一個具有與該主體的一中心開口的軸線平行的一軸線; 一支撐環,具有其中一徑向向內的部分被抬升到一徑向向外的部分上方的一階梯狀上表面,該支撐環的一外徑小於該滑環的該主體的該內徑;以及 一邊緣環,具有一平坦上表面和一平坦下表面,該邊緣環具有: 小於該支撐環的該外徑的一內徑;以及 大於該支撐環的該外徑的一外徑。
  2. 如請求項1所述的處理配件,其特徵在於,該邊緣環的該外徑大於該滑環的該主體的該外徑。
  3. 如請求項1所述的處理配件,其特徵在於,形成於該滑環的該主體中的該一個或多個開口包含至少三個開口。
  4. 如請求項1所述的處理配件,其特徵在於,該滑環進一步包含在該主體的一上部末端處的一水平部分,該水平部分從該主體徑向向外延伸。
  5. 如請求項4所述的處理配件,其特徵在於,該滑環進一步包含從該水平部分延伸的一垂直部分,其中該垂直部分的一軸線平行於該主體的一軸線。
  6. 如請求項5所述的處理配件,其特徵在於,該垂直部分的一內徑大於該支撐環的該外徑。
  7. 如請求項6所述的處理配件,其特徵在於,該垂直部分的一外徑小於該邊緣環的該外徑。
  8. 如請求項5所述的處理配件,其特徵在於,該支撐環的該外徑大於該滑環的該主體的該內徑。
  9. 如請求項1所述的處理配件,其特徵在於,形成於該滑環的該主體中的該一個或多個開口中的每一個被配置成容納一個或多個升降桿。
  10. 一種用於一基板支撐件的處理配件,包含: 一滑環,具有由一內徑和一外徑限定的一主體,該主體具有形成為穿過其中的一個或多個開口,其中該一個或多個開口中的每一個具有與該主體的一中心開口的一軸線平行的一軸線; 一支撐環,具有其中一徑向向內的部分被抬升到一徑向向外的部分上方的一階梯狀上表面,該支撐環具有大於該滑環的該主體的該內徑的一外徑,以及形成為穿過該支撐環的一個或多個開口,其中形成為穿過該支撐環的該一個或多個開口中的每一個與形成為穿過該滑環的該主體的該一個或多個開口中的一個對準; 一邊緣環,具有一平坦上表面和一平坦下表面,該邊緣環具有: 小於該支撐環的該外徑的一內徑;以及 大於該支撐環的該外徑的一外徑。
  11. 一種基板支撐件,包含: 一靜電卡盤基座; 一圓盤,定位在該靜電卡盤基座上方;以及 一處理配件,用於該基板支撐件,包含: 一滑環,定位在該靜電卡盤基座周圍並具有由一內徑和一外徑限定的一主體,該主體具有形成為穿過其中的一個或多個開口,其中該一個或多個開口中的每一個具有與該主體的一中心開口的一軸線平行的一軸線; 一支撐環,具有其中一徑向向內的部分被抬升到一徑向向外的部分上方的一階梯狀上表面,該支撐環的一外徑小於該滑環的該主體的該內徑;以及 一邊緣環,具有一平坦上表面和一平坦下表面,該邊緣環具有: 小於該支撐環的該外徑的一內徑;以及 大於該支撐環的該外徑的一外徑。
  12. 如請求項11所述的基板支撐件,其特徵在於,該邊緣環的該外徑大於該滑環的該主體的該外徑。
  13. 如請求項11所述的基板支撐件,其特徵在於,形成於該滑環的該主體中的該一個或多個開口包含至少三個開口。
  14. 如請求項11所述的基板支撐件,其特徵在於,該滑環進一步包含在該主體的一上部末端處的一水平部分,該水平部分從該主體徑向向外延伸。
  15. 如請求項14所述的基板支撐件,其特徵在於,該滑環進一步包含從該水平部分延伸的一垂直部分,其中該垂直部分的一軸線平行於該主體的一軸線。
  16. 如請求項15所述的基板支撐件,其特徵在於,該垂直部分的一內徑大於該支撐環的該外徑。
  17. 如請求項16所述的基板支撐件,其特徵在於,該垂直部分的一外徑小於該邊緣環的該外徑。
  18. 如請求項15所述的基板支撐件,其特徵在於,該支撐環的該外徑大於該滑環的該主體的該內徑。
  19. 如請求項11所述的基板支撐件,其特徵在於,形成於該滑環的該主體中的該一個或多個開口中的每一個被配置成容納一個或多個升降桿。
  20. 如請求項11所述的基板支撐件,其特徵在於,該支撐環的該外徑大於該滑環的該主體的該內徑;並且該支撐環包括形成為穿過其中的一個或多個開口,並且該一個或多個開口中的每一個與形成為經由該滑環的該主體的該一個或多個開口中的一個對準。
TW107217385U 2017-12-21 2018-12-21 基板支撐件與用於基板支撐件的處理配件 TWM583125U (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201762609044P 2017-12-21 2017-12-21
US62/609,044 2017-12-21

Publications (1)

Publication Number Publication Date
TWM583125U true TWM583125U (zh) 2019-09-01

Family

ID=67006508

Family Applications (2)

Application Number Title Priority Date Filing Date
TW107146316A TWI795495B (zh) 2017-12-21 2018-12-21 可移動並且可移除的處理配件
TW107217385U TWM583125U (zh) 2017-12-21 2018-12-21 基板支撐件與用於基板支撐件的處理配件

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW107146316A TWI795495B (zh) 2017-12-21 2018-12-21 可移動並且可移除的處理配件

Country Status (5)

Country Link
US (1) US11043400B2 (zh)
JP (1) JP7297440B2 (zh)
KR (1) KR20190075849A (zh)
CN (3) CN209471945U (zh)
TW (2) TWI795495B (zh)

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108369922B (zh) 2016-01-26 2023-03-21 应用材料公司 晶片边缘环升降解决方案
US11075105B2 (en) 2017-09-21 2021-07-27 Applied Materials, Inc. In-situ apparatus for semiconductor process module
US11043400B2 (en) * 2017-12-21 2021-06-22 Applied Materials, Inc. Movable and removable process kit
US10600623B2 (en) 2018-05-28 2020-03-24 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
US11935773B2 (en) 2018-06-14 2024-03-19 Applied Materials, Inc. Calibration jig and calibration method
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
JP7134104B2 (ja) * 2019-01-09 2022-09-09 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理装置の載置台
KR20200112447A (ko) * 2019-03-22 2020-10-05 삼성전자주식회사 에지 링을 갖는 기판 처리 장치
JP7321026B2 (ja) * 2019-08-02 2023-08-04 東京エレクトロン株式会社 エッジリング、載置台、基板処理装置及び基板処理方法
JP2021027305A (ja) * 2019-08-09 2021-02-22 株式会社ディスコ プラズマエッチング装置
CN112542415B (zh) * 2019-09-20 2022-12-02 夏泰鑫半导体(青岛)有限公司 晶圆处理装置及半导体加工站
CN112563186A (zh) * 2019-09-26 2021-03-26 东京毅力科创株式会社 基片支承器和等离子体处理装置
JP7465733B2 (ja) 2019-09-26 2024-04-11 東京エレクトロン株式会社 基板支持器及びプラズマ処理装置
KR20210042749A (ko) * 2019-10-10 2021-04-20 삼성전자주식회사 정전 척 및 상기 정전 척을 포함하는 기판 처리 장치
JP7412124B2 (ja) * 2019-10-18 2024-01-12 東京エレクトロン株式会社 基板処理システム及びエッジリングを交換する方法
CN112713075B (zh) * 2019-10-25 2024-03-12 中微半导体设备(上海)股份有限公司 等离子体隔离环、等离子体处理装置与基片处理方法
CN115428140A (zh) * 2020-02-24 2022-12-02 朗姆研究公司 具有用于边缘环高度管理的双升降机构的半导体处理室
TW202137326A (zh) * 2020-03-03 2021-10-01 日商東京威力科創股份有限公司 基板支持台、電漿處理系統及環狀構件之安裝方法
JP2021150424A (ja) * 2020-03-18 2021-09-27 キオクシア株式会社 エッジリング及びプラズマ処理装置
TW202213428A (zh) * 2020-06-05 2022-04-01 日商東京威力科創股份有限公司 電漿處理裝置
JP7455012B2 (ja) * 2020-07-07 2024-03-25 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理装置の載置台
CN114188205A (zh) * 2020-09-14 2022-03-15 中微半导体设备(上海)股份有限公司 一种静电装置、其所在的基片处理系统及其置换清洁方法
CN116057676A (zh) * 2021-02-09 2023-05-02 东京毅力科创株式会社 基片处理系统和输送方法
TWI745240B (zh) * 2021-02-22 2021-11-01 天虹科技股份有限公司 晶圓承載固定裝置及應用該晶圓承載固定裝置的薄膜沉積設備
CN114121583B (zh) * 2021-11-17 2024-03-29 长江存储科技有限责任公司 边缘刻蚀装置及晶圆处理方法

Family Cites Families (113)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5267607A (en) 1991-05-28 1993-12-07 Tokyo Electron Limited Substrate processing apparatus
JP2638443B2 (ja) 1993-08-31 1997-08-06 日本電気株式会社 ドライエッチング方法およびドライエッチング装置
US5730801A (en) 1994-08-23 1998-03-24 Applied Materials, Inc. Compartnetalized substrate processing chamber
US5762714A (en) 1994-10-18 1998-06-09 Applied Materials, Inc. Plasma guard for chamber equipped with electrostatic chuck
JP2713276B2 (ja) 1995-12-07 1998-02-16 日本電気株式会社 半導体装置の製造装置およびこれを用いた半導体装置の製造方法
US5885428A (en) * 1996-12-04 1999-03-23 Applied Materials, Inc. Method and apparatus for both mechanically and electrostatically clamping a wafer to a pedestal within a semiconductor wafer processing system
US5851140A (en) 1997-02-13 1998-12-22 Integrated Process Equipment Corp. Semiconductor wafer polishing apparatus with a flexible carrier plate
EP1042787B1 (de) 1997-12-23 2005-03-02 Unaxis Balzers Aktiengesellschaft Haltevorrichtung
US6773562B1 (en) 1998-02-20 2004-08-10 Applied Materials, Inc. Shadow frame for substrate processing
KR20000030944A (ko) * 1998-10-20 2000-06-05 윤종용 스퍼터 공정에 사용되는 리프트 후프
JP3234576B2 (ja) * 1998-10-30 2001-12-04 アプライド マテリアルズ インコーポレイテッド 半導体製造装置におけるウェハ支持装置
US6022809A (en) 1998-12-03 2000-02-08 Taiwan Semiconductor Manufacturing Company, Ltd. Composite shadow ring for an etch chamber and method of using
US6709547B1 (en) 1999-06-30 2004-03-23 Lam Research Corporation Moveable barrier for multiple etch processes
US6206976B1 (en) 1999-08-27 2001-03-27 Lucent Technologies Inc. Deposition apparatus and related method with controllable edge exclusion
US6375748B1 (en) 1999-09-01 2002-04-23 Applied Materials, Inc. Method and apparatus for preventing edge deposition
US6589352B1 (en) 1999-12-10 2003-07-08 Applied Materials, Inc. Self aligning non contact shadow ring process kit
TW506234B (en) 2000-09-18 2002-10-11 Tokyo Electron Ltd Tunable focus ring for plasma processing
US6391787B1 (en) 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
US7138014B2 (en) 2002-01-28 2006-11-21 Applied Materials, Inc. Electroless deposition apparatus
US6744212B2 (en) 2002-02-14 2004-06-01 Lam Research Corporation Plasma processing apparatus and method for confining an RF plasma under very high gas flow and RF power density conditions
US6776849B2 (en) 2002-03-15 2004-08-17 Asm America, Inc. Wafer holder with peripheral lift ring
KR100995715B1 (ko) * 2002-04-09 2010-11-19 파나소닉 주식회사 플라즈마 처리 방법 및 장치와 플라즈마 처리용 트레이
US6896765B2 (en) 2002-09-18 2005-05-24 Lam Research Corporation Method and apparatus for the compensation of edge ring wear in a plasma processing chamber
US7252738B2 (en) 2002-09-20 2007-08-07 Lam Research Corporation Apparatus for reducing polymer deposition on a substrate and substrate support
US7311784B2 (en) 2002-11-26 2007-12-25 Tokyo Electron Limited Plasma processing device
US6898558B2 (en) 2002-12-31 2005-05-24 Tokyo Electron Limited Method and apparatus for monitoring a material processing system
US20040261946A1 (en) 2003-04-24 2004-12-30 Tokyo Electron Limited Plasma processing apparatus, focus ring, and susceptor
KR100578129B1 (ko) 2003-09-19 2006-05-10 삼성전자주식회사 플라즈마 식각 장치
US7244336B2 (en) 2003-12-17 2007-07-17 Lam Research Corporation Temperature controlled hot edge ring assembly for reducing plasma reactor etch rate drift
US7338578B2 (en) 2004-01-20 2008-03-04 Taiwan Semiconductor Manufacturing Co., Ltd. Step edge insert ring for etch chamber
US20050263070A1 (en) 2004-05-25 2005-12-01 Tokyo Electron Limited Pressure control and plasma confinement in a plasma processing chamber
US7138067B2 (en) 2004-09-27 2006-11-21 Lam Research Corporation Methods and apparatus for tuning a set of plasma processing steps
US7736528B2 (en) 2005-10-12 2010-06-15 Panasonic Corporation Plasma processing apparatus and plasma processing method
CN101847574B (zh) 2006-01-31 2012-11-07 东京毅力科创株式会社 基板处理装置和暴露于等离子体的部件
US7572737B1 (en) 2006-06-30 2009-08-11 Lam Research Corporation Apparatus and methods for adjusting an edge ring potential substrate processing
US7589950B2 (en) 2006-10-13 2009-09-15 Applied Materials, Inc. Detachable electrostatic chuck having sealing assembly
CN101583736A (zh) 2007-01-19 2009-11-18 应用材料股份有限公司 浸没式等离子体室
US7968469B2 (en) 2007-01-30 2011-06-28 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor with variable height ground return path to control plasma ion density uniformity
JP5317424B2 (ja) 2007-03-28 2013-10-16 東京エレクトロン株式会社 プラズマ処理装置
US20080289766A1 (en) 2007-05-22 2008-11-27 Samsung Austin Semiconductor Lp Hot edge ring apparatus and method for increased etch rate uniformity and reduced polymer buildup
US7824146B2 (en) 2007-09-07 2010-11-02 Advanced Technology Development Facility Automated systems and methods for adapting semiconductor fabrication tools to process wafers of different diameters
US8999106B2 (en) 2007-12-19 2015-04-07 Applied Materials, Inc. Apparatus and method for controlling edge performance in an inductively coupled plasma chamber
KR20150136142A (ko) 2008-04-16 2015-12-04 어플라이드 머티어리얼스, 인코포레이티드 웨이퍼 프로세싱 증착 차폐 컴포넌트들
US8398777B2 (en) 2008-05-02 2013-03-19 Applied Materials, Inc. System and method for pedestal adjustment
KR20100043844A (ko) * 2008-10-21 2010-04-29 주식회사 테스 플라즈마 처리 장치
US20100101729A1 (en) 2008-10-28 2010-04-29 Applied Materials, Inc. Process kit having reduced erosion sensitivity
JP5406067B2 (ja) 2009-02-16 2014-02-05 キヤノンアネルバ株式会社 トレイ及び真空処理装置
US9435029B2 (en) 2010-08-29 2016-09-06 Advanced Energy Industries, Inc. Wafer chucking system for advanced plasma ion energy processing systems
SG178287A1 (en) 2009-08-31 2012-03-29 Lam Res Corp A local plasma confinement and pressure control arrangement and methods thereof
US8270141B2 (en) 2009-11-20 2012-09-18 Applied Materials, Inc. Electrostatic chuck with reduced arcing
JP5584517B2 (ja) 2010-05-12 2014-09-03 東京エレクトロン株式会社 プラズマ処理装置及び半導体装置の製造方法
JP5654297B2 (ja) 2010-09-14 2015-01-14 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US8633423B2 (en) 2010-10-14 2014-01-21 Applied Materials, Inc. Methods and apparatus for controlling substrate temperature in a process chamber
KR20120048879A (ko) * 2010-11-08 2012-05-16 주식회사 케이씨텍 클램프 링을 구비하는 세미배치 타입 원자층 증착장치
JP5690596B2 (ja) * 2011-01-07 2015-03-25 東京エレクトロン株式会社 フォーカスリング及び該フォーカスリングを備える基板処理装置
US20140017900A1 (en) 2011-03-29 2014-01-16 Tokyo Electron Limited Plasma etching apparatus and plasma etching method
KR101926571B1 (ko) 2011-05-31 2018-12-10 어플라이드 머티어리얼스, 인코포레이티드 유도성 커플링된 플라즈마(icp) 반응기를 위한 동적인 이온 라디칼 시브 및 이온 라디칼 개구
JP5948026B2 (ja) 2011-08-17 2016-07-06 東京エレクトロン株式会社 半導体製造装置及び処理方法
KR101267459B1 (ko) 2011-09-08 2013-05-31 한국과학기술연구원 플라즈마 이온주입 장치 및 방법
US8933628B2 (en) 2011-10-28 2015-01-13 Applied Materials, Inc. Inductively coupled plasma source with phase control
US8988848B2 (en) 2011-12-15 2015-03-24 Applied Materials, Inc. Extended and independent RF powered cathode substrate for extreme edge tunability
JP5906429B2 (ja) * 2013-02-21 2016-04-20 パナソニックIpマネジメント株式会社 プラズマ処理装置及びプラズマ処理方法
US9287147B2 (en) 2013-03-14 2016-03-15 Applied Materials, Inc. Substrate support with advanced edge control provisions
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
JP6689020B2 (ja) * 2013-08-21 2020-04-28 東京エレクトロン株式会社 プラズマ処理装置
WO2015031023A1 (en) 2013-08-30 2015-03-05 Applied Materials, Inc. Substrate support system
JP2015050156A (ja) * 2013-09-04 2015-03-16 東京エレクトロン株式会社 基板載置台及びプラズマ処理装置
WO2015099892A1 (en) 2013-12-23 2015-07-02 Applied Materials, Inc. Extreme edge and skew control in icp plasma reactor
US9410249B2 (en) 2014-05-15 2016-08-09 Infineon Technologies Ag Wafer releasing
CN105336561B (zh) 2014-07-18 2017-07-21 中微半导体设备(上海)有限公司 等离子体刻蚀装置
JP6345030B2 (ja) 2014-08-11 2018-06-20 東京エレクトロン株式会社 プラズマ処理装置及びフォーカスリング
KR20160022458A (ko) 2014-08-19 2016-03-02 삼성전자주식회사 플라즈마 장비 및 이의 동작 방법
CN105789010B (zh) 2014-12-24 2017-11-10 中微半导体设备(上海)有限公司 等离子体处理装置及等离子体分布的调节方法
US10658222B2 (en) * 2015-01-16 2020-05-19 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
US20170263478A1 (en) 2015-01-16 2017-09-14 Lam Research Corporation Detection System for Tunable/Replaceable Edge Coupling Ring
US11605546B2 (en) 2015-01-16 2023-03-14 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
JP6456177B2 (ja) 2015-02-12 2019-01-23 株式会社ディスコ ウェーハ処理システム
US10163610B2 (en) 2015-07-13 2018-12-25 Lam Research Corporation Extreme edge sheath and wafer profile tuning through edge-localized ion trajectory control and plasma operation
US9761459B2 (en) 2015-08-05 2017-09-12 Lam Research Corporation Systems and methods for reverse pulsing
US9620376B2 (en) 2015-08-19 2017-04-11 Lam Research Corporation Self limiting lateral atomic layer etch
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
US9761414B2 (en) 2015-10-08 2017-09-12 Lam Research Corporation Uniformity control circuit for use within an impedance matching circuit
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
WO2017069238A1 (ja) 2015-10-21 2017-04-27 住友大阪セメント株式会社 静電チャック装置
US10124492B2 (en) 2015-10-22 2018-11-13 Lam Research Corporation Automated replacement of consumable parts using end effectors interfacing with plasma processing system
US10062599B2 (en) 2015-10-22 2018-08-28 Lam Research Corporation Automated replacement of consumable parts using interfacing chambers
US9881820B2 (en) 2015-10-22 2018-01-30 Lam Research Corporation Front opening ring pod
US20170115657A1 (en) 2015-10-22 2017-04-27 Lam Research Corporation Systems for Removing and Replacing Consumable Parts from a Semiconductor Process Module in Situ
US10985078B2 (en) 2015-11-06 2021-04-20 Lam Research Corporation Sensor and adjuster for a consumable
US9601319B1 (en) 2016-01-07 2017-03-21 Lam Research Corporation Systems and methods for eliminating flourine residue in a substrate processing chamber using a plasma-based process
CN108369922B (zh) 2016-01-26 2023-03-21 应用材料公司 晶片边缘环升降解决方案
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US9966231B2 (en) 2016-02-29 2018-05-08 Lam Research Corporation Direct current pulsing plasma systems
US20170278679A1 (en) 2016-03-24 2017-09-28 Lam Research Corporation Method and apparatus for controlling process within wafer uniformity
US11011353B2 (en) 2016-03-29 2021-05-18 Lam Research Corporation Systems and methods for performing edge ring characterization
US10312121B2 (en) 2016-03-29 2019-06-04 Lam Research Corporation Systems and methods for aligning measurement device in substrate processing systems
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US9852889B1 (en) 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring
JP6635888B2 (ja) 2016-07-14 2020-01-29 東京エレクトロン株式会社 プラズマ処理システム
US20180061696A1 (en) 2016-08-23 2018-03-01 Applied Materials, Inc. Edge ring or process kit for semiconductor process module
JP2018054500A (ja) 2016-09-29 2018-04-05 東京エレクトロン株式会社 位置検出システム及び処理装置
JP6812224B2 (ja) 2016-12-08 2021-01-13 東京エレクトロン株式会社 基板処理装置及び載置台
US9947517B1 (en) 2016-12-16 2018-04-17 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US10553404B2 (en) 2017-02-01 2020-02-04 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
JP6812264B2 (ja) 2017-02-16 2021-01-13 東京エレクトロン株式会社 真空処理装置、及びメンテナンス装置
US11404249B2 (en) 2017-03-22 2022-08-02 Tokyo Electron Limited Substrate processing apparatus
JP6656200B2 (ja) 2017-04-12 2020-03-04 東京エレクトロン株式会社 位置検出システム及び処理装置
KR102535916B1 (ko) 2017-04-26 2023-05-23 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
US11075105B2 (en) 2017-09-21 2021-07-27 Applied Materials, Inc. In-situ apparatus for semiconductor process module
KR102612989B1 (ko) 2017-12-01 2023-12-11 어플라이드 머티어리얼스, 인코포레이티드 고 에칭 선택성 비정질 탄소 막
US11043400B2 (en) * 2017-12-21 2021-06-22 Applied Materials, Inc. Movable and removable process kit

Also Published As

Publication number Publication date
JP7297440B2 (ja) 2023-06-26
CN109950193A (zh) 2019-06-28
CN210167343U (zh) 2020-03-20
TW201929086A (zh) 2019-07-16
CN209471945U (zh) 2019-10-08
TWI795495B (zh) 2023-03-11
KR20190075849A (ko) 2019-07-01
US20200234981A1 (en) 2020-07-23
JP2019114790A (ja) 2019-07-11
US11043400B2 (en) 2021-06-22

Similar Documents

Publication Publication Date Title
TWI795495B (zh) 可移動並且可移除的處理配件
US11887879B2 (en) In-situ apparatus for semiconductor process module
US9978632B2 (en) Direct lift process apparatus
CN108369922B (zh) 晶片边缘环升降解决方案
JP7105666B2 (ja) プラズマ処理装置
JP7134104B2 (ja) プラズマ処理装置およびプラズマ処理装置の載置台
KR101174816B1 (ko) 플라즈마 처리 장치의 포커스 링 및 이를 구비한 플라즈마 처리 장치
KR102591660B1 (ko) 이동가능한 에지 링 설계들
KR20200066537A (ko) 에지 링 포지셔닝 및 센터링 피처들을 포함하는 플라즈마 시스 튜닝을 위한 교체가능한 에지 링 어셈블리 및/또는 접을 수 있는 에지 링 어셈블리
US10535549B2 (en) Lift pin holder
CN116110846A (zh) 晶片边缘环升降解决方案
US11101115B2 (en) Ring removal from processing chamber
CN102683258B (zh) 卡盘结构和使用卡盘结构处理半导体基板的装置
CN115152010A (zh) 预防局部背面沉积的晶片升降销机构
KR20160007394A (ko) 플라즈마 처리 장치 및 상부 전극 어셈블리
CN114175231A (zh) 用于蚀刻腔室的低接触面积基板支撑件