JP2006513323A - 調整可能なガス分配プレートアセンブリ - Google Patents
調整可能なガス分配プレートアセンブリ Download PDFInfo
- Publication number
- JP2006513323A JP2006513323A JP2004566616A JP2004566616A JP2006513323A JP 2006513323 A JP2006513323 A JP 2006513323A JP 2004566616 A JP2004566616 A JP 2004566616A JP 2004566616 A JP2004566616 A JP 2004566616A JP 2006513323 A JP2006513323 A JP 2006513323A
- Authority
- JP
- Japan
- Prior art keywords
- plate
- gas
- gas distribution
- assembly
- adjustment
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Withdrawn
Links
Images
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/45565—Shower nozzles
-
- G—PHYSICS
- G02—OPTICS
- G02F—OPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
- G02F1/00—Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
- G02F1/01—Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour
- G02F1/13—Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour based on liquid crystals, e.g. single liquid crystal display cells
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45587—Mechanical means for changing the gas flow
- C23C16/45591—Fixed means, e.g. wings, baffles
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/50—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
- C23C16/505—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
- C23C16/509—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
- C23C16/5096—Flat-bed apparatus
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3244—Gas supply means
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3244—Gas supply means
- H01J37/32449—Gas control, e.g. control of the gas flow
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Organic Chemistry (AREA)
- Metallurgy (AREA)
- Mechanical Engineering (AREA)
- Materials Engineering (AREA)
- General Chemical & Material Sciences (AREA)
- Plasma & Fusion (AREA)
- Analytical Chemistry (AREA)
- Nonlinear Science (AREA)
- Optics & Photonics (AREA)
- General Physics & Mathematics (AREA)
- Crystallography & Structural Chemistry (AREA)
- Drying Of Semiconductors (AREA)
- Chemical Vapour Deposition (AREA)
Abstract
ガス分配プレートアセンブリと処理チャンバ内でガスを分配するための方法とが提供される。一実施形態では、ガス分配プレートアセンブリは、拡散プレートに結合された調整プレートを含む。調整プレートは、このプレートを貫通して形成されて、拡散プレートを貫通して形成された複数の開口と一線に整列する複数のオリフィス孔を有し、それらの開口の各々は調整プレートの孔よりも大きな断面積を有する。各開口は、ガス分配プレートアセンブリを貫通するガス通路を画成するためにそれぞれの孔と一線に整列させられる。調整プレートは、ガス分配プレートアセンブリを通るガス流れ特性を変えるために交換調整プレートに置き換えることができる。
Description
発明の分野
[0001]本発明の実施形態は、一般に、ガス分配プレートアセンブリと処理チャンバ内にガスを分配するための方法とに関する。
[0001]本発明の実施形態は、一般に、ガス分配プレートアセンブリと処理チャンバ内にガスを分配するための方法とに関する。
従来技術の説明
[0002]液晶表示装置またはフラットパネルは、コンピュータおよびテレビモニタのようなアクティブマトリックスディスプレイのために広く使用される。一般にフラットパネルは、2枚のガラス板の間に挟まれた液晶材料の層を有する2枚のガラス板を備える。これらのガラス板のうちの少なくとも1つは、その上に配置されて電源に結合された少なくとも1つの導電性フィルムを含む。電源から導電性フィルムに供給される電力は、結晶材料の配向を変化させて、表示装置上に見られるテキストまたは図形のようなパターンを作成する。フラットパネルを生産するために頻繁に使用される1つの製造プロセスは、プラズマ増強型化学気相堆積(PECVD)である。
[0002]液晶表示装置またはフラットパネルは、コンピュータおよびテレビモニタのようなアクティブマトリックスディスプレイのために広く使用される。一般にフラットパネルは、2枚のガラス板の間に挟まれた液晶材料の層を有する2枚のガラス板を備える。これらのガラス板のうちの少なくとも1つは、その上に配置されて電源に結合された少なくとも1つの導電性フィルムを含む。電源から導電性フィルムに供給される電力は、結晶材料の配向を変化させて、表示装置上に見られるテキストまたは図形のようなパターンを作成する。フラットパネルを生産するために頻繁に使用される1つの製造プロセスは、プラズマ増強型化学気相堆積(PECVD)である。
[0003]プラズマ増強型化学気相堆積は、一般に、フラットパネルまたは半導体ウェーハのような基板に薄膜を堆積するために用いられる。プラズマ増強型化学気相堆積は、一般に、フラットパネルを収容する真空チャンバに前駆ガスを導入することによって遂行される。前駆ガスは典型的には、チャンバの最上部付近に置かれる分配プレートを通して方向付けられる。チャンバ内の前駆ガスは、チャンバに結合された1つ以上のRF源からチャンバにRF電力を印加することによってプラズマに活性化(例えば励起)される。励起されたガスは、温度制御された基板支持体上に位置するフラットパネルの表面に材料の層を形成するように反応する。フラットパネルが低温ポリシリコンの層を受ける用途では、基板支持体は摂氏400度を超えて加熱される可能性がある。反応時に作り出される揮発性副生物は、チャンバから排出システムを通してポンプ排出される。
[0004]PECVD法によって処理されるフラットパネルは典型的には、大形で、しばしば360mm×460mmを超え、そのサイズは1平方メートルに及ぶ。フラットパネル上に均一なプロセスガスの流れを供給するために利用されるガス分配パネルは、特に200mm、300mmの半導体ウェーハ処理のために利用されるガス分配プレートと比較して、サイズにおいて比例的に大形である。
[0005]フラットパネル処理のために利用される大形のガス分配プレートには、ガス分配プレートを製造するためにコストがかかるという多くの製造上の問題がある。例えばガス分配プレートを貫通して形成されるガス流孔は、ガス分配プレートの厚さに対して直径が小さく、その結果、孔形成時に頻繁にドリル刃先の破損が生じる。破損したドリル刃先の除去には時間がかかり、ガス分配プレート全体が廃棄される結果となる可能性がある。加えて、ガス分配プレートを貫通して形成されるガス流孔の数は、サイズにおいてフラットパネルに比例するので、各プレートに形成される多数の孔は不都合にも、プレート製造時の障害の高い確率に寄与する。更にドリル刃先破損を最小にするために孔の数を増やすことは、製造時間が長くなり、それによって製造コストを上昇させる。
[0006]材料およびガス分配プレート製造には、コストがかかるので、効率的にまたコスト効率よく製造できる構成で、ガス分配プレートを開発することは有利である。更に、次世代のガス分配プレートのサイズは1.44平方メートルを超えるフラットパネルを処理することに適応するために増加するので、前述の問題は解決がますます重要になる。
[0007]したがって、ガス分配プレートの改良が必要である。
[0008]ガス分配プレートアセンブリおよび処理チャンバ内にガスを分配するための方法が提供される。一実施形態では、ガス分配プレートアセンブリは、拡散プレートに結合された調整プレートを含む。調整プレートは、拡散プレートを貫通して形成された複数の開口と一線に整列した調整プレートを貫通して形成された複数のオリフィス孔を有しており、それらの開口の各々は調整プレートの孔より大きな断面積を有する。各開口は、ガス分配プレートアセンブリを貫通するガス通路を画成するためにそれぞれの孔と一線に整列される。調整プレートは、ガス分配プレートアセンブリを通るガス流れ特性を変えるために交換調整プレートに置き換えることができる。
[0009]本発明の教示は、添付の図面と関連して、以下の詳細な説明を考慮することによって容易に理解され得る。
[0021]本発明は、一般に、ガス分配プレートアセンブリおよび処理チャンバ内でのガス送達を調整するための方法を提供する。本発明は、カリフォルニア州サンタクララのアプライドマテリアルズ社の一部門であるAKTから入手可能なプラズマ増強型化学気相堆積(PECVD)システムのような大面積基板を処理するように構成されたプラズマ増強型化学気相堆積システムを参照しながら以下に例示的に説明される。しかしながら本発明がエッチングシステム、その他の化学気相堆積システムおよび丸い基板を処理するために構成されたこれらのシステムを含む処理チャンバ内でガスを分配することが所望される他の任意のシステムのような他のシステム構成でも有用性を有することは理解されるべきである。
[0022]図1は、プラズマ増強型化学気相堆積システム100の一実施形態の断面図である。システム100は、一般に、ガス源104に結合された処理チャンバ102を含む。処理チャンバ102は、処理ボリューム112を部分的に画成する壁106および底部108を有する。処理ボリューム112は典型的には、処理チャンバ102への基板140の出し入れの動きを容易にする、壁106のポート(図示せず)を通してアクセスされる。壁106と底部108は典型的には、アルミニウムまたは処理に適合する他の材料の単一ブロックから製造される。壁106は、処理ボリューム112を排出ポート(図示されていない種々のポンピングコンポーネントを含む)に結合する強制換気114を含有する蓋アセンブリ110を支持する。
[0023]温度制御される基板支持体アセンブリ138は、処理チャンバ102内の中心に配置される。支持体アセンブリ138は、処理時に基板を支持する。一実施形態では、基板支持体アセンブリ138は、少なくとも1つの埋め込みヒータ132を包み込んだアルミニウムボディ124を備える。
[0024]支持体アセンブリ138内に配置された抵抗性要素のようなヒータ132は、電源130に結合されており、支持体アセンブリ138およびその上に配置された基板140を予め決められた温度にまで制御可能に加熱する。典型的にはCVDプロセスでヒータ132は、基板140上に堆積される材料に関する堆積処理パラメータに依存して、摂氏約150度から少なくとも約460度の間の均一な温度に基板140を維持する。
[0025]一般に支持体アセンブリ138は、下面126および上面134を有する。上面134は、基板140を支持する。下面126は、それに結合されたステム(心棒)142を有する。ステム142は、上昇した処理位置(図示せず)と処理チャンバからの基板の出し入れ転送を容易にする下降した位置との間で支持体アセンブリ138を動かすリフトシステム(図示せず)に支持体アセンブリ138を結合する。ステム142は更に、支持体アセンブリ138とシステム100の他のコンポーネントとの間の電気と熱電対のリード線のための導管を与える。
[0026]支持体アセンブリ138(またはステム142)と処理チャンバ102の底部108との間にはベローズ146が結合される。ベローズ146は、支持体アセンブリ138の垂直方向の動きを容易にしながらチャンバボリューム112と処理チャンバ102の外の大気との間に真空シールを与える。
[0027]支持体アセンブリ138は、一般に、蓋アセンブリ110と基板支持体アセンブリ138との間に位置するガス分配プレートアセンブリ118(またはチャンバの蓋アセンブリの内部または付近に位置する他の電極)に電源122によって供給されるRF電力が支持体アセンブリ138と分配プレートアセンブリ118との間の処理ボリューム112内に存在するガスを励起できるように、接地される。電源122からのRF電力は、一般に、化学気相堆積プロセスを駆動するために基板のサイズに釣り合うように選択される。
[0028]加えて、支持体アセンブリ138は、外接シャドウフレーム148を支持する。一般にシャドウフレーム148は、基板が支持体アセンブリ138に付着しないように、基板140と支持体アセンブリ138のエッジにおける堆積を防止する。
[0029]支持体アセンブリ138は、それを貫通して配置された複数のリフトピン150を受け入れる複数の孔128を有する。リフトピン150は典型的には、セラミックまたは陽極処理されたアルミニウムから構成される。一般にリフトピン150は、リフトピン150が正常位置にあるとき(すなわち支持体アセンブリ138に対して引っ込んでいるとき)支持体アセンブリ138の上面134と実質的に同じ高さにあるか、僅かに凹んでいる第1の端部を有する。第1の端部は典型的には、リフトピン150が孔128から抜け落ちるのを防止するためにフレアになっている。加えて、リフトピン150は、支持体アセンブリ138の下面126を越えて延びる第2の端部を有する。リフトピン150は、支持面130から突き出るようにリフトプレート154によって支持体アセンブリ138に関して駆動され、それによって基板を支持体アセンブリ138から間隔をおいて設置する。
[0030]リフトプレート154は、基板支持体アセンブリ138の下面126と処理チャンバ102の底部108との間に配置される。リフトプレート154は、ステム142の一部分を取り囲むカラー156によってアクチュエータ(図示せず)に接続される。ベローズ146は、処理チャンバ102の外部環境からの処理ボリューム112の隔離を維持しながら、ステム142とカラー156とが独立に動くことを可能にする上部168および下部170を含む。一般にリフトプレート154は、支持体アセンブリ138とリフトプレート154とが互いに近づくにつれて、リフトピン150が上面134から延びるように駆動される。
[0031]蓋アセンブリ110は、処理ボリューム112に上部境界を与える。蓋アセンブリ110は典型的には、処理チャンバ102を保守サービスするために取り外され、または開放され得る。一実施形態では、蓋アセンブリ110は、アルミニウムから製造される。
[0032]蓋アセンブリ110は、そこに形成され、外部ポンピングシステム(図示せず)に結合された強制換気114を含む。ポンピングプレナム114は、ガスおよび処理副生物を処理ボリューム112から均一に方向付けして処理チャンバから排出するために利用される。
[0033]蓋アセンブリ110は典型的には、ガス源104によって供給されるプロセスガスが処理チャンバ102に導入される入口ポート180を含む。入口ポート180はまた、洗浄源182に結合される。洗浄源182は典型的には、ガス分配プレートアセンブリ118を含む処理チャンバハードウエアから堆積副生物およびフィルムを除去するために処理チャンバ102に導入される解離フッ素のような洗浄剤を供給する。
[0034]ガス分配プレートアセンブリ118は、蓋アセンブリ110の内面120に結合される。ガス分配プレートアセンブリ118は典型的には、基板140のプロファイル、例えば大面積基板に関して多角形、ウェーハに関して円形のプロファイルに実質的に従うように構成される。ガス分配プレートアセンブリ118は、ガス源104から供給されるプロセスガスその他のガスが処理ボリューム112にそれを通して送り込まれる穴明き領域116を含む。ガス分配プレートアセンブリ118の穴明き領域116は、ガス分配プレートアセンブリ118を通り抜けて処理チャンバ内に入るガスの均一な分布を与えるように構成される。本発明から利益を受けるように適応し得る1つのガス分配プレートは、Kellerらによって2001年8月8日に出願された米国特許出願第09/922,219号に記載されており、その全体を参照として本明細書中に組み入れる。
[0035]図2Aは、ガス分配プレートアセンブリ118の一実施形態の分解図を示す。ガス分配プレートアセンブリ118は典型的には、互いに結合され、間に調整プレート202を挟んでいる拡散プレート204および裏打ちプレート206を含む。調整プレート202と裏打ちプレート206は、代替として単一部材(図2Bに示すような)を備えることができる。
[0036]ガス分配プレートアセンブリ118を通り抜けて処理ボリューム112に入るガスの所定の分布を可能にするために調整プレート202および拡散プレート204を貫通して複数のガス通路250(別々のプレート202、204を通る点線によって示される)が形成される。
[0037]裏打ちプレート206は典型的には、ステンレス鋼、アルミニウム、ニッケルまたはその他のRF導電性材料から製造される。裏打ちプレート206は、裏打ちプレート206を通ってガス通路250へガス流が妨害されることなく流れるのを容易にするためにガス通路と一線に整列する複数の開口218を含む。あるいは、裏打ちプレート206は、調整プレート202および拡散プレート204を貫通して延びる穴明き領域116を露出するフレームとして構成されてもよい。裏打ちプレート206は、一般に、調整プレート202を蓋アセンブリ110またはチャンバ壁106に結合するための取付け面を提供する。図2A、2Bに示す実施形態では、裏打ちプレート206は、膨張ブラケット216によって蓋アセンブリ110に結合される。
[0038]図3は、図2Aの拡散センブリ118と膨張ブラケット216の断面図である。膨張ブラケット216は典型的には、ステンレス鋼、アルミニウム、ニッケルまたはその他のRF導電性材料から製造される。膨張ブラケット216は、調整プレート202および蓋アセンブリ110の内面120をそれらの間にプレナム308を画成する間隔をあけて維持する。プレナム308は、ガスが中心の穴明き領域116上に均一に供給されるように、蓋アセンブリ110を通って流れるガスが調整プレート202の全幅に亘って均一に分布することを可能にする。
[0039]膨張ブラケット216と調整プレート202は、ガス分配プレートアセンブリ118を通るガス流の均一性に影響を及ぼすように、ねじれ、ひずみ、またはプレート202、204に不利に応力をかけることなく調整プレート202(および拡散プレート204)の熱的膨張および収縮を容易にするように結合される。
[0040]一実施形態では、膨張ブラケット216は、主要ボディ362から外側に延びる第1のフランジ360および第1のフランジ360の反対方向に内側に延びる第2のフランジ364を含む多角形のフレームである。あるいは、膨張ブラケット216は、フランジ付き円筒であってもよい。第1のフランジ360は、各々が蓋アセンブリ110に形成されたねじ孔368と一線に整列した複数の取付け孔366を含む。ベント付き固定具370はそれぞれ、膨張ブラケット216を蓋アセンブリ110に固定するために、取付け孔366を通されて、ねじ孔368にねじ込まれる。
[0041]第2のフランジ364は、それに形成され、それぞれドエルピン(位置合わせピン)374を保有する複数の孔376を含む。ドエルピン374(図3に1個が示されている)は、第1のフランジ362と蓋アセンブリ110の内面120とに向かって第2のフランジ364から上方に延びる。裏打ちプレート206(または調整プレート202)を貫通して形成されたスロット372は、ピン374をそれぞれ受け入れるように適合されている。図4に示す膨張ブラケット216の部分断面上面図に描かれているように、裏打ちプレート216のスロット372は、蓋アセンブリ110/膨張ブラケット216と分配プレートアセンブリ118との間の熱膨張の差を補正するように、分配プレートアセンブリ118がドエルピン374に関して動くことを可能にするために、ドエルピン374に関して十分に大きい。図4に示すように、スロット372は、典型的には、二つの軸におけるプレートアセンブリ118の膨張に適応するために直交する方向の各辺に沿って方向付けされる。あるいは、スロット372は、円形ガス分配プレートのために放射状に構成されることもあり得る。このようにして、分配プレートアセンブリ118は加熱および冷却するので、分配プレートアセンブリ118は、蓋アセンブリ110に関して動くことが自由であり、それによって分配プレートアセンブリ118をひずませ、さもなければ分配プレートアセンブリ118を通って流れるガスのパターンを変えさせる可能性のあるねじれまたはその他の応力がない状態に留まる。
[0042]図3に戻ると、調整プレート202は典型的には、ステンレス鋼、アルミニウム、ニッケルまたは他のRF導電性材料から製造される。調整プレート202は典型的には、拡散プレート204の厚さの約1/10である。調整プレート202は、各々がそれぞれガス通路250ののうちの1つの通路の第1の部分を備える複数のオリフィス孔352を含む。調整プレート202を貫通して形成されたガス通路250の第1の部分352は典型的には、ガス通路250の第2の部分を備える拡散プレート204を貫通して形成された開口354と比較して、流れに対する低い抵抗を有する。オリフィス孔352は典型的には、ガス分配プレート116を通過するガスに流量制限を与え、それによってプレート116の全幅に亘って所定のパターンにガスを分配するように構成される。第1の部分352を通る流量抵抗は、調整プレート202の孔直径と厚さとの組合せを選択することによって、所定の圧力低下を有するように構成され得る。第1の部分352の流量抵抗はまた、孔の中の表面テクスチャを利用することによって、あるいは孔のエッジを面取りまたは丸み付けすることによって、あるいは他の方法によって設定されてもよい。
[0043]典型的にはオリフィス孔352は、約0.2mm〜約10.0mmの間の直径を有する。オリフィス孔352は、穴明き領域116の幅に亘って均一な断面積を有することができ、あるいはオリフィス孔352のあるものは、以下更に論じられる図7A〜図7Bを参照しながら説明されるように、他のものとは異なるように構成され得る。図3に示す実施形態ではガス通路250は、同じ断面積をもって均一に構成される。調整プレート202は従来のガス分配プレートと比較して比較的薄いので、オリフィス孔は容易に製造され、デバリングされ、洗浄され、また好都合にも粒子、チップからの可能性のある特定の汚染、あるいは従来のガス分配プレートに形成された細長い孔にしばしばトラップされる他の汚染を最小にしながら、調整プレート202が効率的にまたコスト効率よくに製造されることを可能にしている。
[0044]一実施形態では、調整プレート202と裏打ちプレート206は、陽極処理されていないアルミニウムから製造される。洗浄剤からのフッ素は、陽極処理層を汚染することが見出されており、これは堆積時に解放されて汚染物として堆積膜内に捕捉され得る。調整プレート202と裏打ちプレート206は蓋アセンブリ110のポート180を通って処理チャンバ102内に入る洗浄剤に直接曝されるので、蓋アセンブリ110と向かい合っている調整プレート202と裏打ちプレート206の表面からの陽極処理の除去は好都合にも、基板140に堆積した膜内に存在するフッ素の量を減少させることが見出されている。更に調整プレート202に形成された小直径、高アスペクト比のオリフィス孔352は陽極処理の一部である多くのバスを受けないので、オリフィス孔352は、後で処理時に基板を汚染し、あるいは1つ以上の孔を乾燥した残留物で詰まらせて、不均一な堆積という結果を招く可能性のある、このような小さくて高アスペクト比の孔から除去するのが困難であるバス残留物のない状態に留まる。
[0045]拡散プレート204は典型的には、ステンレス鋼、アルミニウム、ニッケルまたは他のRF導電性材料から製造される。拡散プレート204は、一般に、厚さが約0.855インチから少なくとも約1.8インチであり、ガス分配アセンブリ118の剛性の大半を与える。
[0046]拡散プレート204は、ガス通路250を形成するためにオリフィス孔352と一線に整列した複数の開口354を含む。拡散プレート204に形成された開口354は典型的には、オリフィス孔352と比較して実質的に流量制限を与えない。図3に示す実施形態では、従来のガス分配プレートのような単一のプレートを貫通する完全なオリフィス孔によって形成されない開口354は、従来の設計に対してガス分配プレートアセンブリ118の実質的な製造コストの削減に寄与する。
[0047]一実施形態では、拡散プレート204は、陽極処理されたアルミニウムから製造される。基板支持体アセンブリ138と向かい合っている拡散プレート204の陽極処理された表面は、処理時にプラズマに曝される。拡散プレート204を陽極処理されたアルミニウムから製造することは、処理の安定性と堆積の均一性とに寄与することが実証されている。したがって拡散プレート204が陽極処理されていて、調整プレート202が陽極処理されていない一実施形態では、ガス分配プレートアセンブリ118は、陽極処理された表面または陽極酸化の処理が堆積時の汚染に寄与する陽極酸化を除去しながら、陽極処理が処理を高めるこれらのプレートだけを陽極処理することによってコスト効率よく製造することができる。
[0048]図5は、ガス通路250の一実施形態を形成するオリフィス孔352と開口354との位置合わせを示すプレートアセンブリ118の一実施形態の断面図である。オリフィス孔352は、内腔502およびフレア形端部504を含む。内腔502は、一般に、調整プレート202の第1の側部506からフレア形端部504に延びる。フレア形端部504は、一般に、調整プレート202の第2の側部508の表面で終端するまで断面積が増加する。フレア形端部504は、オリフィス孔352と開口354との間の僅かな位置合わせ不良を補正する。
[0049]更にフレア形端部504と内腔502との間の相対的長さは、オリフィス孔352の流量制限属性を変えるように選択されてもよい。例えばフレア形端部504は、調整プレート202内に更に延び、それによって内腔502の比較長さを減少させ、オリフィス孔352の流量抵抗を減少させる。別の例ではフレア形端部504を画成するフレアの角度を、オリフィス孔352の全抵抗へのその寄与を減少させるように増加することができる。オリフィス孔352の流量制限属性は、他の流量制限属性の中でも、孔の断面プロファイル(軸方向および/または横方向の)と内腔内部の平滑さ/粗さと内腔との相対的長さ(同じプレート内のフレア形断面に対する、またはプレートの厚さに依存する)を含む他の方法で影響される可能性がある。
[0050]開口354は、内腔510およびフレア形端部512を含む。内腔510は、一般に、拡散プレート204の第1の側部514からフレア形端部512まで延びる。フレア形端部512は、一般に、拡散プレート204の第2の側部516の表面で終端するまで断面積が増加する。開口354は、ある幾つかの堆積プロセスを高める他の構成を代替として有することができる。例えば内腔510を拡散プレート204の第2の側部516まで完全に延ばすことは、ある幾つかの堆積プロセス時にガスを分配するために有利であることが実証されている。本発明から利益を受けるように適応し得る同様なガス通路構成を有する1つの拡散プレートアセンブリは、その全体を参照として本明細書中に組み入れる、2002年5月6日に出願された米国特許出願通番第10/140,324号に記載されている。
[0051]オリフィス孔352と開口354は、調整プレート202の第2の側部508と拡散プレート204の第1の側部514とのそれぞれの表面に破断したエッジ518、520を有する。破断エッジ518、520は半径部または面取りされた面である可能性があり、調整プレート202と拡散プレート204との間のガス通路250をガスが流れるときの乱流の誘因を最小にするように構成される。孔352と開口354は、代替の構成を、例えば真っ直ぐな内腔または複雑なプロファイルを有することができる。
[0052]図3に戻ると、ガス通路250を構成するオリフィス孔352と開口354との間の位置整合を維持するために調整プレート202と拡散プレート204との間には複数の位置決め要素346が配置される。一実施形態では、これらの位置決め要素は、調整プレート202と拡散プレート204と裏打ちプレート206との間に配置された複数の位置決めピン344(そのうちの1つが示されている)である。図3に示す実施形態では位置決めピン344は、裏打ちプレート206に圧入された嵌合ブシュ342に係合するために拡散プレート204から延びている。ブシュ342の各々の外面340は調整プレート202を裏打ちプレート206に位置決めする。ピン344は、ガス通路250を構成するオリフィス孔352と開口354との位置整合と、調整プレート202と拡散プレート204との間の所定の方位とが保証されるように位置付けられてもよい。調整プレート202と拡散プレート204は、固定具、リベット、ねじ、ろう付け、溶接、接着剤、クランプなどを含むあらゆる方法で互いに固定され得る。
[0053]図6は、裏打ちプレート206および調整プレート202を一定間隔で拡散プレート204に固定するために一実施形態で利用される代表的な破断性(breakaway)固定具システム600を示す調整プレート202と拡散プレート204との分解部分断面図である。破断性固定具システム600の各々は典型的には、固定具602および嵌合ナット604を含んでおり、これらは典型的にはアルミニウムまたは他の適切な材料から製造される。処理に対する固定具材料の影響を最小にするためにアルミニウム固定具を使用することが有利である用途では、破断性固定具システム600は、従来のアルミニウム固定具が焼き付いてコンポーネントの除去と再ねじ切りを必要とする場合に、調整プレート202と拡散プレート204とが分離されることを可能にする。
[0054]固定具602は、ヘッド606およびシャンク608およびねじ部610を有する。ヘッド606は典型的には、裏打ちプレート206の上面614に(裏打ちプレート206を組み入れていない実施形態ではオリフィスプレート202に)形成された対の内腔612に配置される。固定具604のシャンク608を受け入れるために対の内腔612と同心円的に裏打ちプレート206を貫通して孔616が形成される。シャンク608は、孔616と同心円的に整列する調整プレート202を貫通して形成された孔618を通り抜ける。シャンク608は典型的には、固定具602が所定の量を超えるトルクを受けたときにせん断するように適合されたくびれ部分620を含む。
[0055]ナット604は、典型的に、拡散プレート204の第2の側部416に形成されたスロット622に配置される。スロット622は、プレート202、204、206が互いに相対して位置付けられたときに孔616、618と同心円的に整列するように適合された拡散プレート204を貫通して形成された孔624と連通している。シャンク608は、ねじ部分610をスロット622内に露出するために孔616、618、624を貫通する。スロット620に配置されたナット602は、固定具602のねじ部分610に嵌合される。スロット620は、固定具602がプレート202、204、206を互いに推し進めるように締め付けられるときにナット604が同調回転するのを防止するように構成される。
[0056]破断性固定具システム600は、多くの利点を有する。先ず、使用された拡散プレート204は、汚れたり、詰まったり、破損したりしたときに、あるいは特定の堆積プロセスに適した開口354の異なる構成を与えるために、新しい拡散プレート204に交換することができる。拡散プレート204だけを交換することは、ガス分配プレート116全体を交換することに比べて実質的にコストが削減できる。加えて、調整プレート202は、ガス通路250の第1の部分を構成するオリフィス孔352を通る、異なる流れ特性を有する交換調整プレートに交換されてもよい。例えばオリフィス孔352の断面積は、カバープレート間で異なることが可能であり、それによってガス分配プレートアセンブリ118を通る流れ特性を変え、好都合にも処理制御が実現される、または同じ処理チャンバ102内で異なる堆積プロセスを容易にする別の属性を与えることができる。
[0057]図7A〜図7Bは、拡散プレート704に結合された、図7Aに示す第1の調整プレート702Aおよび図7Bに示す交換調整プレート702Bを有するガス分配プレートアセンブリ700の断面図を示す。第1の調整プレート702Aは膨張ブラケット216によって蓋アセンブリ110に結合されて示されているが、分配プレートアセンブリ700の第1の調整プレート702Aは蓋110に直接結合される可能性もある。
[0058]一実施形態では、調整プレート702Aは、第1の厚さを有しており、このプレートを貫通して形成されたガス通路710の一部分を形成する第1の直径を有する複数のオリフィス孔706を含む。このプレートの厚さと孔直径の組合せは、所定の堆積プロセスの性能を高めるためにガス分配プレートアセンブリ700のガス通路710を通る流体の流れを調整するように選択され得る所定の流量抵抗を各オリフィス孔に与える。
[0059]調整プレート702Bは、第2の厚さを有しており、このプレートを貫通して形成されたプレートアセンブリ700のガス通路710の一部分を形成する第2の直径を有する複数のオリフィス孔708を含む。この調整プレート702Bの厚さは、調整プレート702Aの厚さとは異なる(すなわちより厚いか薄い)。オリフィス孔708の第2の直径は、一実施形態では、オリフィス孔706の第1の直径と同じである。したがってプレート702A、702B間のプレート厚さの差は、ガス通路の流量抵抗を変化させ、それによってガス分配プレートアセンブリ700全体を変える必要なしにその後の堆積プロセスを最適化するように、ガス分配プレートアセンブリ700の流れ特性が調整されることを可能にする。
[0060]図8A〜図8Bは、調整プレートおよび拡散プレート804を有するガス分配プレートアセンブリ800の別の実施形態を示す。ガス分配プレートアセンブリ800の流れ特性は、拡散プレート804に結合された調整プレートを第1の調整プレート802Aから同じ厚さの第2の調整プレート802Bに切り替えることによって調整することができる。調整プレート802Aは、第1の直径のオリフィス孔606を有する。調整プレート802Bは、第1の直径とは異なる第2の直径のオリフィス孔608を有する。したがってプレート802A、802B間のオリフィス孔直径の差は、流量抵抗を変化させ、ガス分配プレートアセンブリ800の流れ特性が好都合にも処理の変化に適するように調整されることを可能にする。場合により、プレート802A、802Bの厚さも、より大きな範囲の流れ制御を与えるように調整してもよい。
[0061]図9は、ガス分配プレートアセンブリ900の別の実施形態を示す。ガス分配プレートアセンブリ900は、ガス通路9101・・・910iを有する、拡散プレート904に結合された調整プレート902を含む。ここでiは最も外側のガス通路を表す正の整数である。拡散プレート904は、前述の拡散プレート204に類似している。調整プレート902は、各々がガス通路9101・・・910iの一部分を形成する複数のオリフィス孔9061・・・906iを有する。オリフィス孔9061〜906iのうちの少なくとも二つのオリフィス孔の直径は、ガス分配プレートアセンブリ900を通るプロセスガスの所定の不均一な流れ分布を与えるように異なっている。例えばオリフィス孔906i−1の直径はオリフィス孔906iの直径より大きい可能性があり、ガス分配プレートアセンブリ900の下方に位置する基板のエッジにおけるガス流が減少する。あるいは、オリフィス孔906i−1の直径はオリフィス孔906iの直径より小さい可能性があり、基板のエッジにおけるガス流が増加する。オリフィス孔9061〜906iのうちの幾つかは等しい直径を有する可能性がある。
[0062]調整プレート902の流れ特性は、前述のように、第1の調整プレートを、異なる流れ属性を有する第2の調整プレートに交換することによって調整することができることが考えられる。例えば第2の調整プレートのオリフィス孔は、第1の調整プレートと比較してオリフィス孔間で断面積の異なる分布を有する可能性がある。あるいは、調整プレート間の厚さを変えてもよく、またはガス分配プレートアセンブリ800を通る所望の流量抵抗を与える変化の他の組合せがあってもよい。
[0063]したがって、製造が経済的であるガス分配プレートアセンブリが提供されている。更にこのガス分配プレートアセンブリは、処理時に放出される得る可能な粒子の生成および製造時の汚染を最小にするように構成される。更に、提供されるガス分配プレートアセンブリは好都合にも、ガス流れ特性がアセンブリの1枚のプレートを交換することによって調整されることを可能にする。更に、従来のガス分配プレートに使用される固定具を共通的に損なう溝堀りと焼き付きの問題を克服するガス分配プレートアセンブリのプレートを結合するためのシステムと方法が提供されている。
[0064]本発明の教示を組み入れたいくつかの好ましい実施形態が示され、詳細に説明されたが、当業者は、これらの教示をなお組み入れた多くの他の種々の実施形態を直ちに考案することが可能である。
理解を容易にするために各図に共通である同じ要素を表すために、可能な場合には、同じ数字が使用されている。
100…プラズマ増強型化学気相堆積システム、102…処理チャンバ、104…ガス源、106壁、108…底部、110…蓋アセンブリ、112…処理ボリューム、114…ポンピングプレナム、116…ガス分配プレート(穴明き領域)、118…ガス分配プレートアセンブリ、122…電源、124…アルミニウムボディ、126…下面、128…孔、130…電源、132…ヒータ、134…上面、138…基板支持体アセンブリ、140…基板、142…ステム、146…ベローズ、148…シャドウフレーム、150…リフトピン、154…リフトプレート、156…カラー、168…上部、170…下部、180…入口ポート、182…洗浄源、202…調整プレート、204…拡散プレート、206…裏打ちプレート、216…膨張ブラケット、250…ガス通路、308…プレナム、352…オリフィス孔、344…位置決めピン、346…位置決め要素、354…開口、360…第1のフランジ、362…主要ボディ、364…第2のフランジ、366…取付け孔、368…ねじ孔、370…固定具、374…ドエルピン、502…内腔、504…フレア形端部、506…第1の側部、508…第2の側部、510…内腔、512…フレア形端部、514…第1の側部、516…第2の側部、518、520…破断面、600…破断性固定具システム、602…固定具、604…嵌合ナット、606…ヘッド、608…シャンク、610…ねじ部、612…対の内腔、614…上面、622…スロット、624…孔、700…ガス分配プレートアセンブリ、702A…第1の調整プレート、702B…第2の調整プレート、704…拡散プレート、706、708…オリフィス孔、710…ガス通路、800…ガス分配プレートアセンブリ、802A…第1の調整プレート、802B…第2の調整プレート、804…拡散プレート、900…ガス分配プレートアセンブリ、902…調整プレート、904…拡散プレート、9061・・・906i…オリフィス孔、9101・・・910i…ガス通路
Claims (43)
- 処理チャンバのためのガス分配プレートアセンブリであって、
調整プレートを貫通して形成された複数のオリフィス孔を有する調整プレートと、
前記調整プレートに相対して配置された拡散プレートであって、このプレートを貫通して形成された複数の開口を有し、各開口が前記調整プレートのそれぞれのオリフィス孔と一線に整列させられて前記ガス分配プレートアセンブリを通るガス通路を画成しており、前記開口が前記調整プレートのオリフィス孔より大きな断面積を有する拡散プレートと、
を備えるガス分配プレートアセンブリ。 - 前記調整プレートが前記拡散プレートより薄い、請求項1に記載のガス分配プレートアセンブリ。
- 前記調整プレートと前記拡散プレートがアルミニウムから構成される、請求項1に記載のガス分配プレートアセンブリ。
- 前記拡散プレートから離れて向かいあっている前記調整プレートの表面が陽極処理されていないアルミニウムであり、
前記調整プレートから離れて向かいあっている前記拡散プレートの表面が陽極処理されている、請求項1に記載のガス分配プレートアセンブリ。 - 前記調整プレートが少なくとも1つの固定具によって前記拡散プレートに結合される、請求項1に記載のガス分配プレートアセンブリ。
- 前記固定具が、
アルミニウムの固定具と、
アルミニウムのナットと、を更に備える、請求項7に記載のガス分配プレートアセンブリ。 - 前記アルミニウムの固定具が、所定の量を超える応力を受けたときにせん断するように適合されたたくびれ領域を更に備える、請求項6に記載のガス分配プレートアセンブリ。
- 前記オリフィス孔の少なくとも1つが、
前記拡散プレートに相対して配置された前記調整プレートの第1の側部を出るフレア部分に結合された内腔を更に備える、請求項1に記載のガス分配プレートアセンブリ。 - 前記開口の少なくとも1つが前記調整プレートから離れて向かいあっている、前記拡散プレートの第1の側部を出るフレア部分に結合された内腔を更に備える、請求項1に記載のガス分配プレートアセンブリ。
- 前記ガス通路開口の少なくとも1つが、
前記拡散プレートに相対して配置されたオリフィス孔の半径部または面取りエッジと、
前記調整プレートに相対して配置された開口の半径部または面取りエッジと、
を更に備える、請求項1に記載のガス分配プレートアセンブリ。 - 前記拡散プレートに対向する前記調整プレートに結合された裏打ちプレートを更に備える、請求項1に記載のガス分配プレートアセンブリ。
- 前記裏打ちプレートを取り囲み、また熱膨張の違いに適応するようにこのプレートに結合された膨張ブラケットを更に備える、請求項11に記載のガス分配プレートアセンブリ。
- 前記膨張ブラケットがフランジから延びていて、前記裏打ちプレートに形成されたスロットと嵌合するように適合された複数のピンを有するフランジを更に備える、請求項12に記載のガス分配プレートアセンブリ。
- 前記拡散プレートが多角形である、請求項1に記載のガス分配プレートアセンブリ。
- 前記拡散プレートが円板である、請求項1に記載のガス分配プレートアセンブリ。
- 前記調整プレートを貫通して形成された前記オリフィス孔の少なくとも1つが前記オリフィス孔の他の1つとは異なる流量制限属性を有する、請求項1に記載のガス分配プレートアセンブリ。
- 前記複数のオリフィス孔が、
第1のセットのオリフィス孔と、
前記第1のセットのオリフィス孔の内側に配置され、前記第1のセットのオリフィス孔とは異なる流量制限属性を有する少なくとも第2のセットの前記オリフィス孔と、
を更に備える、請求項1に記載のガス分配プレートアセンブリ。 - 前記流量制限属性が、断面積と、孔断面プロファイルと、内腔平滑度と、内腔深さと、前記オリフィス孔の同心円的フレア断面の長さおよび角度と、オリフィス孔面取りの量と、からなるオリフィス孔属性のグループから選択される、請求項1に記載のガス分配プレートアセンブリ。
- 陽極処理のない第1の表面および第2の表面を有する第1のプレートと、
前記第1のプレートに結合されており、前記第1のプレートの第2の側部に相対して配置された第1の側部および陽極処理された第2の側部を有する第2のプレートと、
各々が前記第1のプレートを貫通して形成された第1の部分および前記第2のプレートを貫通して形成された第2の部分を有する複数のガス通路と、
を備えるガス分配プレートアセンブリ。 - 前記第2のプレートが前記第1のプレートより厚い、請求項19に記載のガス分配プレートアセンブリ。
- 前記第1のプレートおよび前記第2のプレートを貫通して配置されたアルミニウムの固定具であって、予め決められた量を超える応力を受けたときにせん断するように適合されたたくびれ領域を有するアルミニウムの固定具と、
この固定具に嵌合させられるアルミニウムのナットと、
を更に備える、請求項19に記載のガス分配プレートアセンブリ。 - 前記第2のプレートが前記ナットを受けるように適合されたスロットを更に備える、請求項21に記載のガス分配プレートアセンブリ。
- 前記複数のガス通路が、
前記調整プレートを貫通して形成され、前記拡散プレートに相対して配置された前記調整プレートの1つの側部を出るフレア部分を有する少なくとも第1の内腔を更に備える、請求項19に記載のガス分配プレートアセンブリ。 - 前記複数のガス通路が、
前記第1のプレートを貫通して形成され、前記第2のプレートに相対して配置された前記第1のプレートの1つの側部を出るフレア部分を有する少なくとも第1の内腔と、
前記第1のプレートを貫通して形成され、前記第1の内腔の内側に配置され、前記第1の内腔とは異なる流量制限属性を有する少なくとも第2の内腔と、を更に備える、請求項19に記載のガス分配プレートアセンブリ。 - 処理システムであって、
壁および底部を有する処理チャンバと、
前記壁上に配置され、前記処理チャンバ内に画成されたプロセス(処理)ボリュームの境界を定める蓋アセンブリと、
前記処理ボリューム内に配置された基板支持体と、
前記蓋アセンブリとは間隔をおいて配置された第1の表面および第2の表面を有する調整プレートと、
前記調整プレートより厚くて、かつこの調整プレートに結合されており、前記調整プレートの第2の側部に相対して配置された第1の側部および第2の側部を有する拡散プレートと、
各々が前記調整プレートを貫通して形成された第1の部分および前記拡散プレートを貫通して形成された第2の部分を有する複数のガス通路であって、前記ガス通路の前記第1の部分が前記第2の部分よりも流体の流れに対してより抑制的であるガス通路と、
を備える処理システム。 - 前記拡散プレートの第2の側部が陽極処理されており、前記蓋アセンブリと向かい合っている前記調整プレートの第1の表面が陽極処理されていない、請求項25に記載の処理システム。
- 前記拡散プレートが複数の破断性固定具によって前記調整プレートに結合される、請求項25に記載の処理システム。
- 前記ガス通路の各々が、
第1のセットのガス通路と、
前記第1のセットのガス通路の内側に配置され、前記第1のセットのガス通路とは異なる流量制限属性を有する少なくとも第2のセットのガス通路と、
を更に備える、請求項25に記載のガス分配プレートアセンブリ。 - 壁および底部を有する処理チャンバと、
前記壁上に配置され、前記処理チャンバ内に画成された処理ボリュームの境界を定める蓋アセンブリと、
前記処理ボリューム内に配置された基板支持体と、
前記蓋アセンブリとは間隔をおいて配置された第1の陽極処理されていない表面および第2の表面を有する調整プレートと、
前記調整プレートの第2の側部に相対して配置された第1の側部および第2の陽極処理された面を有する拡散プレートと、
前記調整プレートおよび前記拡散プレートを貫通する複数のガス通路と、
を備える処理システム。 - 前記ガス通路の各々が、
前記調整プレートを貫通して形成されたオリフィス孔と、
前記拡散プレートを貫通して形成された開口と、
を更に備え、
前記オリフィス孔が前記開口より抑制的である、請求項29に記載のシステム。 - 前記調整プレートを取り囲み、また熱膨張の違いに適応するようにこの調整プレートに結合された膨張ブラケットを更に備える、請求項29に記載の処理システム。
- 前記膨張ブラケットが、フランジから延びて前記調整プレートに形成されたスロットと嵌合するように適合された複数のピンを有するフランジを更に備える、請求項31に記載のガス分配プレートアセンブリ。
- 前記拡散プレートが複数の破断性固定具によって前記調整プレートに結合される、請求項29に記載の処理システム。
- 前記ガス通路の各々が、
第1のセットのガス通路と、
前記第1のセットのガス通路の内側に配置されて、前記第1のセットのガス通路とは異なる流量制限属性を有する少なくとも第2のセットのガス通路と、を更に備える、請求項25に記載のガス分配プレートアセンブリ。 - 第1の調整プレートおよび拡散プレートを備えるガス分配プレートを貫通して形成されたガス通路を通して処理チャンバ内にガスを分配するための方法であり、前記ガス通路が前記第1の調整プレートを貫通して形成された第1の部分および前記拡散プレートを貫通して形成された隣接する第2の部分を有する方法であって、
前記ガス分配プレートを貫通して形成された前記複数のガス通路を通して予め決められた流れ分布にプロセスガスを流すステップと、
前記予め決められた流れ分布を変えるために前記ガス分配プレートの少なくとも1つの流量制限属性を変更するステップと、を含む方法。 - 前記ガス分配プレートの少なくとも1つの流量制限属性を変更する前記ステップが前記第1の調整プレートを第2の調整プレートに交換する工程を更に含んでおり、
前記第2の調整プレートが、前記第1の調整プレートを貫通して形成された前記ガス通路の第1の部分とは異なる、前記第2の調整プレートを貫通して形成された前記ガス通路の第1の部分を有する、請求項35に記載の方法。 - 少なくとも1つの流量制限属性を変更する前記ステップが、
前記第1の調整プレートと比較して、前記第2の調整プレートの外側領域における流量抵抗に関して、前記第2の調整プレートの中心における流量抵抗を増加させる工程を更に含む、請求項36に記載の方法。 - 少なくとも1つの流量制限属性を変更する前記ステップが、
前記第1の調整プレートと比較して、前記第2の調整プレートの外側領域における流量抵抗に関して、前記第2の調整プレートの中心における流量抵抗を減少させる工程を更に含む、請求項36に記載の方法。 - 少なくとも1つの流量制限属性を変更する前記ステップが、
前記第1の調整プレートと比較して、前記第2の調整プレートの流量抵抗を増加または減少させる工程を更に含む、請求項36に記載の方法。 - 前記第1の調整プレートを前記第2の調整プレートに交換する前記ステップが、
前記第1の調整プレートを前記拡散プレートに結合する少なくとも1つの固定具を所定の位置でせん断する工程を更に含む、請求項35に記載の方法。 - 第1の調整プレートおよび拡散プレートを備えるガス分配プレートを貫通して形成されたガス通路を通して処理チャンバ内にガスを分配するための方法であり、前記ガス通路が前記第1の調整プレートを貫通して形成された第1の部分および前記拡散プレートを貫通して形成された隣接する第2の部分を有する方法であって、
前記ガス分配プレートを貫通して形成された前記複数のガス通路を通して予め決められた流れ分布にプロセスガスを流すステップと、
前記予め決められた流れ分布を変えるために前記ガス分配プレートの少なくとも1つの流量制限属性を変更するステップと、を含む方法。 - 少なくとも1つの流量制限属性を変更する前記ステップが、
前記調整プレートを交換する工程を含む、請求項41に記載の方法。 - 変更する前記ステップが、
前記調整プレートを前記拡散プレートに結合する固定具をせん断する工程を更に含む、請求項42に記載の方法。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US10/337,483 US7270713B2 (en) | 2003-01-07 | 2003-01-07 | Tunable gas distribution plate assembly |
PCT/US2003/041508 WO2004064407A2 (en) | 2003-01-07 | 2003-12-31 | Tunable gas distribution plate assembly |
Publications (1)
Publication Number | Publication Date |
---|---|
JP2006513323A true JP2006513323A (ja) | 2006-04-20 |
Family
ID=32681251
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2004566616A Withdrawn JP2006513323A (ja) | 2003-01-07 | 2003-12-31 | 調整可能なガス分配プレートアセンブリ |
Country Status (6)
Country | Link |
---|---|
US (1) | US7270713B2 (ja) |
JP (1) | JP2006513323A (ja) |
KR (1) | KR100663799B1 (ja) |
CN (1) | CN1735956A (ja) |
TW (1) | TW200416297A (ja) |
WO (1) | WO2004064407A2 (ja) |
Cited By (8)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2009035821A (ja) * | 2007-07-20 | 2009-02-19 | Applied Materials Inc | スリットバルブ補償を備えた拡散プレート |
JP2010529663A (ja) * | 2007-06-06 | 2010-08-26 | アイクストロン、アーゲー | 複数の拡散溶接枠を具備するガス分配器及びその製造方法 |
JP2012209456A (ja) * | 2011-03-30 | 2012-10-25 | Toray Ind Inc | プラズマ処理装置 |
US9200368B2 (en) | 2004-05-12 | 2015-12-01 | Applied Materials, Inc. | Plasma uniformity control by gas diffuser hole design |
JP2016511935A (ja) * | 2013-01-25 | 2016-04-21 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 取り外し可能なガス分配プレートを有するシャワーヘッド |
KR20160130166A (ko) * | 2015-05-01 | 2016-11-10 | 어플라이드 머티어리얼스, 인코포레이티드 | 필름 스택들의 형성을 위한 이중-채널 샤워헤드 |
JP2018500597A (ja) * | 2014-12-31 | 2018-01-11 | エーエスエムエル ホールディング エヌ.ブイ. | 不均一なガス流によるレチクル冷却 |
CN110753994A (zh) * | 2017-08-08 | 2020-02-04 | 应用材料公司 | 用于处理腔室的多板面板 |
Families Citing this family (433)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6772827B2 (en) * | 2000-01-20 | 2004-08-10 | Applied Materials, Inc. | Suspended gas distribution manifold for plasma chamber |
KR100676979B1 (ko) * | 2001-02-09 | 2007-02-01 | 동경 엘렉트론 주식회사 | 성막 장치 |
US20050081788A1 (en) * | 2002-03-15 | 2005-04-21 | Holger Jurgensen | Device for depositing thin layers on a substrate |
US7169231B2 (en) * | 2002-12-13 | 2007-01-30 | Lam Research Corporation | Gas distribution system with tuning gas |
US7534363B2 (en) * | 2002-12-13 | 2009-05-19 | Lam Research Corporation | Method for providing uniform removal of organic material |
US6942753B2 (en) * | 2003-04-16 | 2005-09-13 | Applied Materials, Inc. | Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition |
US20040235299A1 (en) * | 2003-05-22 | 2004-11-25 | Axcelis Technologies, Inc. | Plasma ashing apparatus and endpoint detection process |
US8580076B2 (en) * | 2003-05-22 | 2013-11-12 | Lam Research Corporation | Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith |
KR100965758B1 (ko) * | 2003-05-22 | 2010-06-24 | 주성엔지니어링(주) | 액정표시장치용 플라즈마 강화 화학기상증착 장치의샤워헤드 어셈블리 |
US20050098106A1 (en) * | 2003-11-12 | 2005-05-12 | Tokyo Electron Limited | Method and apparatus for improved electrode plate |
US20050103265A1 (en) * | 2003-11-19 | 2005-05-19 | Applied Materials, Inc., A Delaware Corporation | Gas distribution showerhead featuring exhaust apertures |
JP4698251B2 (ja) * | 2004-02-24 | 2011-06-08 | アプライド マテリアルズ インコーポレイテッド | 可動又は柔軟なシャワーヘッド取り付け |
JP4451684B2 (ja) * | 2004-03-17 | 2010-04-14 | キヤノンアネルバ株式会社 | 真空処理装置 |
US20050223983A1 (en) * | 2004-04-08 | 2005-10-13 | Venkat Selvamanickam | Chemical vapor deposition (CVD) apparatus usable in the manufacture of superconducting conductors |
US20050223986A1 (en) * | 2004-04-12 | 2005-10-13 | Choi Soo Y | Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition |
US7785672B2 (en) * | 2004-04-20 | 2010-08-31 | Applied Materials, Inc. | Method of controlling the film properties of PECVD-deposited thin films |
US20050233092A1 (en) * | 2004-04-20 | 2005-10-20 | Applied Materials, Inc. | Method of controlling the uniformity of PECVD-deposited thin films |
US20060005771A1 (en) * | 2004-07-12 | 2006-01-12 | Applied Materials, Inc. | Apparatus and method of shaping profiles of large-area PECVD electrodes |
US8074599B2 (en) * | 2004-05-12 | 2011-12-13 | Applied Materials, Inc. | Plasma uniformity control by gas diffuser curvature |
CN101871099B (zh) * | 2004-07-12 | 2013-09-25 | 应用材料公司 | 通过气体分散器弯曲性的等离子体均匀度控制 |
US20060021703A1 (en) * | 2004-07-29 | 2006-02-02 | Applied Materials, Inc. | Dual gas faceplate for a showerhead in a semiconductor wafer processing system |
JP2006049544A (ja) * | 2004-08-04 | 2006-02-16 | Canon Anelva Corp | 基板処理装置及びこれを用いた基板処理方法 |
KR20060014495A (ko) * | 2004-08-11 | 2006-02-16 | 주식회사 유진테크 | 화학기상증착장치의 샤워헤드 |
US7429410B2 (en) * | 2004-09-20 | 2008-09-30 | Applied Materials, Inc. | Diffuser gravity support |
US20060090773A1 (en) * | 2004-11-04 | 2006-05-04 | Applied Materials, Inc. | Sulfur hexafluoride remote plasma source clean |
KR101153161B1 (ko) * | 2005-04-01 | 2012-06-18 | 주성엔지니어링(주) | 가스분사장치 및 이를 포함하는 액정표시소자의 제조장치 |
US20060266288A1 (en) * | 2005-05-27 | 2006-11-30 | Applied Materials, Inc. | High plasma utilization for remote plasma clean |
TWI295816B (en) | 2005-07-19 | 2008-04-11 | Applied Materials Inc | Hybrid pvd-cvd system |
US8709162B2 (en) * | 2005-08-16 | 2014-04-29 | Applied Materials, Inc. | Active cooling substrate support |
US20070044714A1 (en) * | 2005-08-31 | 2007-03-01 | Applied Materials, Inc. | Method and apparatus for maintaining a cross sectional shape of a diffuser during processing |
US7641762B2 (en) * | 2005-09-02 | 2010-01-05 | Applied Materials, Inc. | Gas sealing skirt for suspended showerhead in process chamber |
US20070056845A1 (en) * | 2005-09-13 | 2007-03-15 | Applied Materials, Inc. | Multiple zone sputtering target created through conductive and insulation bonding |
US20070056843A1 (en) * | 2005-09-13 | 2007-03-15 | Applied Materials, Inc. | Method of processing a substrate using a large-area magnetron sputtering chamber with individually controlled sputtering zones |
CN100405537C (zh) * | 2005-12-07 | 2008-07-23 | 北京北方微电子基地设备工艺研究中心有限责任公司 | 等离子体反应装置 |
US20070163716A1 (en) * | 2006-01-19 | 2007-07-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gas distribution apparatuses and methods for controlling gas distribution apparatuses |
US20070254112A1 (en) * | 2006-04-26 | 2007-11-01 | Applied Materials, Inc. | Apparatus and method for high utilization of process chambers of a cluster system through staggered plasma cleaning |
US7932181B2 (en) * | 2006-06-20 | 2011-04-26 | Lam Research Corporation | Edge gas injection for critical dimension uniformity improvement |
US7776178B2 (en) * | 2006-10-25 | 2010-08-17 | Applied Materials, Inc. | Suspension for showerhead in process chamber |
US8702866B2 (en) | 2006-12-18 | 2014-04-22 | Lam Research Corporation | Showerhead electrode assembly with gas flow modification for extended electrode life |
US8069817B2 (en) * | 2007-03-30 | 2011-12-06 | Lam Research Corporation | Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses |
US7942969B2 (en) * | 2007-05-30 | 2011-05-17 | Applied Materials, Inc. | Substrate cleaning chamber and components |
TWI349720B (en) * | 2007-05-30 | 2011-10-01 | Ind Tech Res Inst | A power-delivery mechanism and apparatus of plasma-enhanced chemical vapor deposition using the same |
US7862682B2 (en) * | 2007-06-13 | 2011-01-04 | Lam Research Corporation | Showerhead electrode assemblies for plasma processing apparatuses |
US20080317973A1 (en) * | 2007-06-22 | 2008-12-25 | White John M | Diffuser support |
US8187414B2 (en) * | 2007-10-12 | 2012-05-29 | Lam Research Corporation | Anchoring inserts, electrode assemblies, and plasma processing chambers |
MY166000A (en) * | 2007-12-19 | 2018-05-21 | Lam Res Corp | A composite showerhead electrode assembly for a plasma processing apparatus |
KR101553423B1 (ko) | 2007-12-19 | 2015-09-15 | 램 리써치 코포레이션 | 반도체 진공 프로세싱 장치용 필름 점착제 |
US8343592B2 (en) * | 2007-12-25 | 2013-01-01 | Applied Materials, Inc. | Asymmetrical RF drive for electrode of plasma chamber |
KR101199644B1 (ko) * | 2008-01-31 | 2012-11-08 | 어플라이드 머티어리얼스, 인코포레이티드 | 플라즈마 챔버의 전극에 대한 다중 위상 rf 전력 |
US8721836B2 (en) * | 2008-04-22 | 2014-05-13 | Micron Technology, Inc. | Plasma processing with preionized and predissociated tuning gases and associated systems and methods |
US8097082B2 (en) * | 2008-04-28 | 2012-01-17 | Applied Materials, Inc. | Nonplanar faceplate for a plasma processing chamber |
EP2274764A1 (en) * | 2008-05-02 | 2011-01-19 | Oerlikon Trading AG, Trübbach | Plasma processing apparatus and method for the plasma processing of substrates |
US8679288B2 (en) | 2008-06-09 | 2014-03-25 | Lam Research Corporation | Showerhead electrode assemblies for plasma processing apparatuses |
US8206506B2 (en) | 2008-07-07 | 2012-06-26 | Lam Research Corporation | Showerhead electrode |
US8221582B2 (en) | 2008-07-07 | 2012-07-17 | Lam Research Corporation | Clamped monolithic showerhead electrode |
US8161906B2 (en) * | 2008-07-07 | 2012-04-24 | Lam Research Corporation | Clamped showerhead electrode assembly |
US9493875B2 (en) * | 2008-09-30 | 2016-11-15 | Eugene Technology Co., Ltd. | Shower head unit and chemical vapor deposition apparatus |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
WO2010065473A2 (en) * | 2008-12-01 | 2010-06-10 | Applied Materials, Inc. | Gas distribution blocker apparatus |
CN102365906B (zh) * | 2009-02-13 | 2016-02-03 | 应用材料公司 | 用于等离子体腔室电极的rf总线与rf回流总线 |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US8402918B2 (en) | 2009-04-07 | 2013-03-26 | Lam Research Corporation | Showerhead electrode with centering feature |
US8272346B2 (en) | 2009-04-10 | 2012-09-25 | Lam Research Corporation | Gasket with positioning feature for clamped monolithic showerhead electrode |
WO2010132716A2 (en) * | 2009-05-13 | 2010-11-18 | Applied Materials, Inc. | Anodized showerhead |
US8147614B2 (en) * | 2009-06-09 | 2012-04-03 | Applied Materials, Inc. | Multi-gas flow diffuser |
CN105088191B (zh) * | 2009-07-15 | 2018-07-13 | 应用材料公司 | Cvd 腔室的流体控制特征结构 |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US8419959B2 (en) | 2009-09-18 | 2013-04-16 | Lam Research Corporation | Clamped monolithic showerhead electrode |
WO2011041332A2 (en) * | 2009-09-29 | 2011-04-07 | Applied Materials, Inc. | Off-center ground return for rf-powered showerhead |
KR200464037Y1 (ko) | 2009-10-13 | 2012-12-07 | 램 리써치 코포레이션 | 샤워헤드 전극 어셈블리의 에지-클램핑되고 기계적으로 패스닝된 내부 전극 |
TWI430714B (zh) * | 2009-10-15 | 2014-03-11 | Orbotech Lt Solar Llc | 電漿處理腔之噴撒頭組件及電漿處理腔之噴撒頭組件之氣體電離板之製備方法 |
TWI394986B (zh) * | 2009-11-09 | 2013-05-01 | Global Material Science Co Ltd | 擴散板結構及其製作方法 |
JP5835722B2 (ja) | 2009-12-10 | 2015-12-24 | オルボテック エルティ ソラー,エルエルシー | 自動順位付け多方向直列型処理装置 |
TWI369251B (en) * | 2010-02-01 | 2012-08-01 | Ind Tech Res Inst | Gas distribution module and gas distribution scanning apparatus using the same |
US9441295B2 (en) * | 2010-05-14 | 2016-09-13 | Solarcity Corporation | Multi-channel gas-delivery system |
US8980047B2 (en) * | 2010-07-02 | 2015-03-17 | Samsung Electronics Co., Ltd. | Microwave plasma processing apparatus |
US8573152B2 (en) | 2010-09-03 | 2013-11-05 | Lam Research Corporation | Showerhead electrode |
JP5697389B2 (ja) * | 2010-09-27 | 2015-04-08 | 東京エレクトロン株式会社 | プラズマエッチング用の電極板及びプラズマエッチング処理装置 |
US8470127B2 (en) | 2011-01-06 | 2013-06-25 | Lam Research Corporation | Cam-locked showerhead electrode and assembly |
US9129778B2 (en) | 2011-03-18 | 2015-09-08 | Lam Research Corporation | Fluid distribution members and/or assemblies |
US9082593B2 (en) * | 2011-03-31 | 2015-07-14 | Tokyo Electron Limited | Electrode having gas discharge function and plasma processing apparatus |
US8459276B2 (en) | 2011-05-24 | 2013-06-11 | Orbotech LT Solar, LLC. | Broken wafer recovery system |
US8562785B2 (en) * | 2011-05-31 | 2013-10-22 | Lam Research Corporation | Gas distribution showerhead for inductively coupled plasma etch reactor |
US9245717B2 (en) | 2011-05-31 | 2016-01-26 | Lam Research Corporation | Gas distribution system for ceramic showerhead of plasma etch reactor |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
DE102011113293A1 (de) * | 2011-09-05 | 2013-03-07 | Schmid Vacuum Technology Gmbh | Vakuumbeschichtungsvorrichtung |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
CN102437002B (zh) * | 2011-11-29 | 2015-12-09 | 中国科学院微电子研究所 | 一种用于进气结构的匀气盘 |
US20130240142A1 (en) * | 2012-03-15 | 2013-09-19 | Globalfoundries Singapore Pte. Ltd. | Isolation between a baffle plate and a focus adapter |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
DE102012110125A1 (de) | 2012-10-24 | 2014-04-24 | Aixtron Se | Vorrichtung zum Behandeln von Substraten mit einer auswechselbaren Deckenplatte sowie Verfahren zum Auswechseln einer derartigen Deckenplatte |
US9362092B2 (en) * | 2012-12-07 | 2016-06-07 | LGS Innovations LLC | Gas dispersion disc assembly |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
GB2510615A (en) * | 2013-02-08 | 2014-08-13 | Glyndwr University | Gas blade injection system |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US20140272135A1 (en) * | 2013-03-12 | 2014-09-18 | Taiwan Semiconductor Manufacturing Company Limited | Deposition injection masking |
US10403521B2 (en) * | 2013-03-13 | 2019-09-03 | Applied Materials, Inc. | Modular substrate heater for efficient thermal cycling |
DE102013204730A1 (de) | 2013-03-18 | 2014-09-18 | Wacker Chemie Ag | Verfahren zur Abscheidung von polykristallinem Silicium |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
US9484190B2 (en) * | 2014-01-25 | 2016-11-01 | Yuri Glukhoy | Showerhead-cooler system of a semiconductor-processing chamber for semiconductor wafers of large area |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US10858737B2 (en) * | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
WO2016135377A1 (en) * | 2015-02-25 | 2016-09-01 | Beneq Oy | Apparatus for subjecting a surface of a substrate to successive surface reactions |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
EP3275008B1 (en) * | 2015-03-25 | 2022-02-23 | Applied Materials, Inc. | Chamber components for epitaxial growth apparatus |
US11384432B2 (en) | 2015-04-22 | 2022-07-12 | Applied Materials, Inc. | Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate |
US9914999B2 (en) * | 2015-04-28 | 2018-03-13 | Applied Materials, Inc. | Oxidized showerhead and process kit parts and methods of using same |
US9972740B2 (en) | 2015-06-07 | 2018-05-15 | Tesla, Inc. | Chemical vapor deposition tool and process for fabrication of photovoltaic structures |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US10083836B2 (en) | 2015-07-24 | 2018-09-25 | Asm Ip Holding B.V. | Formation of boron-doped titanium metal films with high work function |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
CN108140550B (zh) * | 2015-10-08 | 2022-10-14 | 应用材料公司 | 具有减少的背侧等离子体点火的喷淋头 |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10920318B2 (en) * | 2015-10-30 | 2021-02-16 | Kyocera Corporation | Shower plate, semiconductor manufacturing apparatus, and method for manufacturing shower plate |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10373810B2 (en) * | 2016-02-21 | 2019-08-06 | Applied Materials, Inc. | Showerhead having an extended detachable gas distribution plate |
CN105506577B (zh) * | 2016-03-02 | 2018-01-23 | 安徽纯源镀膜科技有限公司 | 一种类金刚石薄膜离子源中离子的引出装置 |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
US9748434B1 (en) | 2016-05-24 | 2017-08-29 | Tesla, Inc. | Systems, method and apparatus for curing conductive paste |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
KR102085041B1 (ko) * | 2016-05-30 | 2020-03-05 | 가부시끼가이샤 제이씨유 | 플라즈마 처리장치 및 방법 |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
US10381226B2 (en) | 2016-07-27 | 2019-08-13 | Asm Ip Holding B.V. | Method of processing substrate |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US9954136B2 (en) | 2016-08-03 | 2018-04-24 | Tesla, Inc. | Cassette optimized for an inline annealing system |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10115856B2 (en) | 2016-10-31 | 2018-10-30 | Tesla, Inc. | System and method for curing conductive paste using induction heating |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
KR102700194B1 (ko) | 2016-12-19 | 2024-08-28 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
JP2018148143A (ja) * | 2017-03-08 | 2018-09-20 | 株式会社東芝 | シャワープレート、処理装置、及び吐出方法 |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10103040B1 (en) | 2017-03-31 | 2018-10-16 | Asm Ip Holding B.V. | Apparatus and method for manufacturing a semiconductor device |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US11380557B2 (en) * | 2017-06-05 | 2022-07-05 | Applied Materials, Inc. | Apparatus and method for gas delivery in semiconductor process chambers |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US20190048467A1 (en) * | 2017-08-10 | 2019-02-14 | Applied Materials, Inc. | Showerhead and process chamber incorporating same |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US11077410B2 (en) | 2017-10-09 | 2021-08-03 | Applied Materials, Inc. | Gas injector with baffle |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
WO2019103613A1 (en) | 2017-11-27 | 2019-05-31 | Asm Ip Holding B.V. | A storage device for storing wafer cassettes for use with a batch furnace |
JP7206265B2 (ja) | 2017-11-27 | 2023-01-17 | エーエスエム アイピー ホールディング ビー.ブイ. | クリーン・ミニエンバイロメントを備える装置 |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US11232874B2 (en) * | 2017-12-18 | 2022-01-25 | Ge-Hitachi Nuclear Energy Americas Llc | Multiple-path flow restrictor nozzle |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
TWI799494B (zh) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
KR102695659B1 (ko) | 2018-01-19 | 2024-08-14 | 에이에스엠 아이피 홀딩 비.브이. | 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법 |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
WO2019158960A1 (en) | 2018-02-14 | 2019-08-22 | Asm Ip Holding B.V. | A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
TWI843623B (zh) | 2018-05-08 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構 |
TW202349473A (zh) | 2018-05-11 | 2023-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
TWI840362B (zh) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 水氣降低的晶圓處置腔室 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
WO2019236937A1 (en) * | 2018-06-08 | 2019-12-12 | Applied Materials, Inc. | Temperature controlled gas diffuser for flat panel process equipment |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
TW202409324A (zh) | 2018-06-27 | 2024-03-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料之循環沉積製程 |
US11499222B2 (en) | 2018-06-27 | 2022-11-15 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
KR102686758B1 (ko) | 2018-06-29 | 2024-07-18 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
CN109119322B (zh) * | 2018-07-27 | 2020-10-02 | 上海硕余精密机械设备有限公司 | 一种磁增强型等离子体源 |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
TWI728456B (zh) | 2018-09-11 | 2021-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 相對於基板的薄膜沉積方法 |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
CN110970344A (zh) | 2018-10-01 | 2020-04-07 | Asm Ip控股有限公司 | 衬底保持设备、包含所述设备的系统及其使用方法 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
US11572624B2 (en) * | 2018-12-13 | 2023-02-07 | Xia Tai Xin Semiconductor (Qing Dao) Ltd. | Apparatus and method for semiconductor fabrication |
JP7504584B2 (ja) | 2018-12-14 | 2024-06-24 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム |
TWI819180B (zh) | 2019-01-17 | 2023-10-21 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
KR20200091543A (ko) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
TWI838458B (zh) | 2019-02-20 | 2024-04-11 | 荷蘭商Asm Ip私人控股有限公司 | 用於3d nand應用中之插塞填充沉積之設備及方法 |
TWI845607B (zh) | 2019-02-20 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
TW202044325A (zh) | 2019-02-20 | 2020-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備 |
TWI842826B (zh) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
US11742198B2 (en) | 2019-03-08 | 2023-08-29 | Asm Ip Holding B.V. | Structure including SiOCN layer and method of forming same |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
US11332827B2 (en) * | 2019-03-27 | 2022-05-17 | Applied Materials, Inc. | Gas distribution plate with high aspect ratio holes and a high hole density |
KR20200116033A (ko) | 2019-03-28 | 2020-10-08 | 에이에스엠 아이피 홀딩 비.브이. | 도어 개방기 및 이를 구비한 기판 처리 장치 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
KR20200123380A (ko) | 2019-04-19 | 2020-10-29 | 에이에스엠 아이피 홀딩 비.브이. | 층 형성 방법 및 장치 |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP7547382B2 (ja) * | 2019-05-15 | 2024-09-09 | アプライド マテリアルズ インコーポレイテッド | 処理システム用の動的マルチゾーン流量制御 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141002A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
TWI839544B (zh) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | 形成形貌受控的非晶碳聚合物膜之方法 |
CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
CN118422165A (zh) | 2019-08-05 | 2024-08-02 | Asm Ip私人控股有限公司 | 用于化学源容器的液位传感器 |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
CN114641592A (zh) * | 2019-08-28 | 2022-06-17 | 朗姆研究公司 | 金属沉积 |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
KR102697450B1 (ko) | 2019-09-27 | 2024-08-21 | 삼성전자주식회사 | 기판 처리 장치와 방법, 그 처리 방법을 이용한 반도체 소자 제조방법 |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
TW202115815A (zh) | 2019-10-04 | 2021-04-16 | 美商應用材料股份有限公司 | 用於易碎板以防止破裂的氣體分配組件安裝 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
CN112635282A (zh) | 2019-10-08 | 2021-04-09 | Asm Ip私人控股有限公司 | 具有连接板的基板处理装置、基板处理方法 |
KR20210043460A (ko) | 2019-10-10 | 2021-04-21 | 에이에스엠 아이피 홀딩 비.브이. | 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
KR20210065848A (ko) | 2019-11-26 | 2021-06-04 | 에이에스엠 아이피 홀딩 비.브이. | 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP7527928B2 (ja) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN110943013B (zh) * | 2019-12-12 | 2022-08-16 | 北京北方华创微电子装备有限公司 | 内衬及反应腔室 |
TW202125596A (zh) | 2019-12-17 | 2021-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成氮化釩層之方法以及包括該氮化釩層之結構 |
KR20210080214A (ko) | 2019-12-19 | 2021-06-30 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
JP2021111783A (ja) | 2020-01-06 | 2021-08-02 | エーエスエム・アイピー・ホールディング・ベー・フェー | チャネル付きリフトピン |
TW202140135A (zh) | 2020-01-06 | 2021-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 氣體供應總成以及閥板總成 |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
KR102145947B1 (ko) * | 2020-01-21 | 2020-08-19 | 주식회사 플스팩 | 기포 제거용 디퓨져 유니트 및 이를 갖는 가변압 장치 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
TW202146882A (zh) | 2020-02-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
KR20210116249A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법 |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
CN113394086A (zh) | 2020-03-12 | 2021-09-14 | Asm Ip私人控股有限公司 | 用于制造具有目标拓扑轮廓的层结构的方法 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
KR20210128343A (ko) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조 |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
TW202146831A (zh) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法 |
KR20210132576A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조 |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
JP2021177545A (ja) | 2020-05-04 | 2021-11-11 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板を処理するための基板処理システム |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202146699A (zh) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統 |
TW202147383A (zh) | 2020-05-19 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
TW202200837A (zh) | 2020-05-22 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基材上形成薄膜之反應系統 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202202649A (zh) | 2020-07-08 | 2022-01-16 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
KR20220010438A (ko) | 2020-07-17 | 2022-01-25 | 에이에스엠 아이피 홀딩 비.브이. | 포토리소그래피에 사용하기 위한 구조체 및 방법 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
KR20220027026A (ko) | 2020-08-26 | 2022-03-07 | 에이에스엠 아이피 홀딩 비.브이. | 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템 |
TW202229601A (zh) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
CN114293174A (zh) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | 气体供应单元和包括气体供应单元的衬底处理设备 |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
TW202217037A (zh) | 2020-10-22 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 沉積釩金屬的方法、結構、裝置及沉積總成 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
KR20220076343A (ko) | 2020-11-30 | 2022-06-08 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터 |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
US11851758B2 (en) | 2021-04-20 | 2023-12-26 | Applied Materials, Inc. | Fabrication of a high temperature showerhead |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
KR20240073169A (ko) * | 2021-10-19 | 2024-05-24 | 어플라이드 머티어리얼스, 인코포레이티드 | 확산기를 위한 더미 홀 및 메시 패치 |
USD1037778S1 (en) * | 2022-07-19 | 2024-08-06 | Applied Materials, Inc. | Gas distribution plate |
Family Cites Families (66)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4262631A (en) | 1979-10-01 | 1981-04-21 | Kubacki Ronald M | Thin film deposition apparatus using an RF glow discharge |
JPS59128281A (ja) | 1982-12-29 | 1984-07-24 | 信越化学工業株式会社 | 炭化けい素被覆物の製造方法 |
JPH07111957B2 (ja) | 1984-03-28 | 1995-11-29 | 圭弘 浜川 | 半導体の製法 |
US4759947A (en) | 1984-10-08 | 1988-07-26 | Canon Kabushiki Kaisha | Method for forming deposition film using Si compound and active species from carbon and halogen compound |
US4892753A (en) | 1986-12-19 | 1990-01-09 | Applied Materials, Inc. | Process for PECVD of silicon oxide using TEOS decomposition |
US5871811A (en) | 1986-12-19 | 1999-02-16 | Applied Materials, Inc. | Method for protecting against deposition on a selected region of a substrate |
US5000113A (en) | 1986-12-19 | 1991-03-19 | Applied Materials, Inc. | Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process |
US4792378A (en) | 1987-12-15 | 1988-12-20 | Texas Instruments Incorporated | Gas dispersion disk for use in plasma enhanced chemical vapor deposition reactor |
US5089083A (en) * | 1989-04-25 | 1992-02-18 | Tokyo Electron Limited | Plasma etching method |
US5074456A (en) * | 1990-09-18 | 1991-12-24 | Lam Research Corporation | Composite electrode for plasma processes |
US5304248A (en) | 1990-12-05 | 1994-04-19 | Applied Materials, Inc. | Passive shield for CVD wafer processing which provides frontside edge exclusion and prevents backside depositions |
US5238866A (en) | 1991-09-11 | 1993-08-24 | GmbH & Co. Ingenieurburo Berlin Biotronik Mess- und Therapiegerate | Plasma enhanced chemical vapor deposition process for producing an amorphous semiconductive surface coating |
KR100276093B1 (ko) * | 1992-10-19 | 2000-12-15 | 히가시 데쓰로 | 플라스마 에칭방법 |
TW347149U (en) | 1993-02-26 | 1998-12-01 | Dow Corning | Integrated circuits protected from the environment by ceramic and barrier metal layers |
KR100324792B1 (ko) | 1993-03-31 | 2002-06-20 | 히가시 데쓰로 | 플라즈마처리장치 |
US5465680A (en) | 1993-07-01 | 1995-11-14 | Dow Corning Corporation | Method of forming crystalline silicon carbide coatings |
KR950020993A (ko) | 1993-12-22 | 1995-07-26 | 김광호 | 반도체 제조장치 |
US5589002A (en) * | 1994-03-24 | 1996-12-31 | Applied Materials, Inc. | Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing |
US5643394A (en) | 1994-09-16 | 1997-07-01 | Applied Materials, Inc. | Gas injection slit nozzle for a plasma process reactor |
US5746875A (en) | 1994-09-16 | 1998-05-05 | Applied Materials, Inc. | Gas injection slit nozzle for a plasma process reactor |
US5818071A (en) | 1995-02-02 | 1998-10-06 | Dow Corning Corporation | Silicon carbide metal diffusion barrier layer |
JP3360098B2 (ja) * | 1995-04-20 | 2002-12-24 | 東京エレクトロン株式会社 | 処理装置のシャワーヘッド構造 |
US5780163A (en) | 1996-06-05 | 1998-07-14 | Dow Corning Corporation | Multilayer coating for microelectronic devices |
US5846332A (en) | 1996-07-12 | 1998-12-08 | Applied Materials, Inc. | Thermally floating pedestal collar in a chemical vapor deposition chamber |
US5711987A (en) | 1996-10-04 | 1998-01-27 | Dow Corning Corporation | Electronic coatings |
US5730792A (en) | 1996-10-04 | 1998-03-24 | Dow Corning Corporation | Opaque ceramic coatings |
US5776235A (en) | 1996-10-04 | 1998-07-07 | Dow Corning Corporation | Thick opaque ceramic coatings |
US5882411A (en) | 1996-10-21 | 1999-03-16 | Applied Materials, Inc. | Faceplate thermal choke in a CVD plasma reactor |
TW415970B (en) | 1997-01-08 | 2000-12-21 | Ebara Corp | Vapor-phase film growth apparatus and gas ejection head |
JP3702068B2 (ja) | 1997-04-09 | 2005-10-05 | 東京エレクトロン株式会社 | 被処理基板の処理装置 |
KR100434790B1 (ko) | 1997-05-20 | 2004-06-07 | 동경 엘렉트론 주식회사 | 처리 장치 |
US6024799A (en) * | 1997-07-11 | 2000-02-15 | Applied Materials, Inc. | Chemical vapor deposition manifold |
US6167837B1 (en) * | 1998-01-15 | 2001-01-02 | Torrex Equipment Corp. | Apparatus and method for plasma enhanced chemical vapor deposition (PECVD) in a single wafer reactor |
US6080446A (en) | 1997-08-21 | 2000-06-27 | Anelva Corporation | Method of depositing titanium nitride thin film and CVD deposition apparatus |
US6140226A (en) | 1998-01-16 | 2000-10-31 | International Business Machines Corporation | Dual damascene processing for semiconductor chip interconnects |
US6660656B2 (en) | 1998-02-11 | 2003-12-09 | Applied Materials Inc. | Plasma processes for depositing low dielectric constant films |
US6627532B1 (en) | 1998-02-11 | 2003-09-30 | Applied Materials, Inc. | Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition |
US6593247B1 (en) | 1998-02-11 | 2003-07-15 | Applied Materials, Inc. | Method of depositing low k films using an oxidizing plasma |
US6340435B1 (en) | 1998-02-11 | 2002-01-22 | Applied Materials, Inc. | Integrated low K dielectrics and etch stops |
US6287990B1 (en) | 1998-02-11 | 2001-09-11 | Applied Materials, Inc. | CVD plasma assisted low dielectric constant films |
US6303523B2 (en) | 1998-02-11 | 2001-10-16 | Applied Materials, Inc. | Plasma processes for depositing low dielectric constant films |
US6413583B1 (en) | 1998-02-11 | 2002-07-02 | Applied Materials, Inc. | Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound |
US6054379A (en) | 1998-02-11 | 2000-04-25 | Applied Materials, Inc. | Method of depositing a low k dielectric with organo silane |
KR100505310B1 (ko) | 1998-05-13 | 2005-08-04 | 동경 엘렉트론 주식회사 | 성막 장치 및 방법 |
US6159871A (en) | 1998-05-29 | 2000-12-12 | Dow Corning Corporation | Method for producing hydrogenated silicon oxycarbide films having low dielectric constant |
US6147009A (en) | 1998-06-29 | 2000-11-14 | International Business Machines Corporation | Hydrogenated oxidized silicon carbon material |
US6454860B2 (en) | 1998-10-27 | 2002-09-24 | Applied Materials, Inc. | Deposition reactor having vaporizing, mixing and cleaning capabilities |
US6364954B2 (en) | 1998-12-14 | 2002-04-02 | Applied Materials, Inc. | High temperature chemical vapor deposition chamber |
US6230651B1 (en) | 1998-12-30 | 2001-05-15 | Lam Research Corporation | Gas injection system for plasma processing |
US6263829B1 (en) | 1999-01-22 | 2001-07-24 | Applied Materials, Inc. | Process chamber having improved gas distributor and method of manufacture |
US6565661B1 (en) | 1999-06-04 | 2003-05-20 | Simplus Systems Corporation | High flow conductance and high thermal conductance showerhead system and method |
US6206972B1 (en) | 1999-07-08 | 2001-03-27 | Genus, Inc. | Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes |
JP3645768B2 (ja) | 1999-12-07 | 2005-05-11 | シャープ株式会社 | プラズマプロセス装置 |
US6772827B2 (en) * | 2000-01-20 | 2004-08-10 | Applied Materials, Inc. | Suspended gas distribution manifold for plasma chamber |
US6477980B1 (en) | 2000-01-20 | 2002-11-12 | Applied Materials, Inc. | Flexibly suspended gas distribution manifold for plasma chamber |
AU2001247685A1 (en) | 2000-03-30 | 2001-10-15 | Tokyo Electron Limited | Method of and apparatus for tunable gas injection in a plasma processing system |
KR100406174B1 (ko) | 2000-06-15 | 2003-11-19 | 주식회사 하이닉스반도체 | 화학적 강화 화학 기상 증착 장비에 사용되는 샤워 헤드 |
US6461435B1 (en) | 2000-06-22 | 2002-10-08 | Applied Materials, Inc. | Showerhead with reduced contact area |
US6641673B2 (en) | 2000-12-20 | 2003-11-04 | General Electric Company | Fluid injector for and method of prolonged delivery and distribution of reagents into plasma |
CN1302152C (zh) | 2001-03-19 | 2007-02-28 | 株式会社Ips | 化学气相沉积设备 |
US6827815B2 (en) * | 2002-01-15 | 2004-12-07 | Applied Materials, Inc. | Showerhead assembly for a processing chamber |
US6793733B2 (en) | 2002-01-25 | 2004-09-21 | Applied Materials Inc. | Gas distribution showerhead |
US7008484B2 (en) | 2002-05-06 | 2006-03-07 | Applied Materials Inc. | Method and apparatus for deposition of low dielectric constant materials |
US6838012B2 (en) * | 2002-10-31 | 2005-01-04 | Lam Research Corporation | Methods for etching dielectric materials |
US20040173313A1 (en) | 2003-03-03 | 2004-09-09 | Bradley Beach | Fire polished showerhead electrode |
US6942753B2 (en) | 2003-04-16 | 2005-09-13 | Applied Materials, Inc. | Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition |
-
2003
- 2003-01-07 US US10/337,483 patent/US7270713B2/en not_active Expired - Fee Related
- 2003-12-31 WO PCT/US2003/041508 patent/WO2004064407A2/en active Application Filing
- 2003-12-31 CN CNA2003801084095A patent/CN1735956A/zh active Pending
- 2003-12-31 JP JP2004566616A patent/JP2006513323A/ja not_active Withdrawn
-
2004
- 2004-01-07 KR KR1020040000862A patent/KR100663799B1/ko not_active IP Right Cessation
- 2004-01-07 TW TW093100376A patent/TW200416297A/zh unknown
Cited By (14)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10312058B2 (en) | 2004-05-12 | 2019-06-04 | Applied Materials, Inc. | Plasma uniformity control by gas diffuser hole design |
US9200368B2 (en) | 2004-05-12 | 2015-12-01 | Applied Materials, Inc. | Plasma uniformity control by gas diffuser hole design |
US10262837B2 (en) | 2004-05-12 | 2019-04-16 | Applied Materials, Inc. | Plasma uniformity control by gas diffuser hole design |
JP2010529663A (ja) * | 2007-06-06 | 2010-08-26 | アイクストロン、アーゲー | 複数の拡散溶接枠を具備するガス分配器及びその製造方法 |
JP2009035821A (ja) * | 2007-07-20 | 2009-02-19 | Applied Materials Inc | スリットバルブ補償を備えた拡散プレート |
JP2012209456A (ja) * | 2011-03-30 | 2012-10-25 | Toray Ind Inc | プラズマ処理装置 |
JP2016511935A (ja) * | 2013-01-25 | 2016-04-21 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 取り外し可能なガス分配プレートを有するシャワーヘッド |
US10423081B2 (en) | 2014-12-31 | 2019-09-24 | Asml Holding N.V. | Reticle cooling by non-uniform gas flow |
JP2018500597A (ja) * | 2014-12-31 | 2018-01-11 | エーエスエムエル ホールディング エヌ.ブイ. | 不均一なガス流によるレチクル冷却 |
JP2017011261A (ja) * | 2015-05-01 | 2017-01-12 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 膜スタック形成のためのデュアルチャネルシャワーヘッド |
KR20160130166A (ko) * | 2015-05-01 | 2016-11-10 | 어플라이드 머티어리얼스, 인코포레이티드 | 필름 스택들의 형성을 위한 이중-채널 샤워헤드 |
KR102493324B1 (ko) * | 2015-05-01 | 2023-01-27 | 어플라이드 머티어리얼스, 인코포레이티드 | 필름 스택들의 형성을 위한 이중-채널 샤워헤드 |
CN110753994A (zh) * | 2017-08-08 | 2020-02-04 | 应用材料公司 | 用于处理腔室的多板面板 |
JP2020530654A (ja) * | 2017-08-08 | 2020-10-22 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 処理チャンバ用のマルチプレートフェースプレート |
Also Published As
Publication number | Publication date |
---|---|
US20040129211A1 (en) | 2004-07-08 |
TW200416297A (en) | 2004-09-01 |
WO2004064407A3 (en) | 2004-12-09 |
CN1735956A (zh) | 2006-02-15 |
US7270713B2 (en) | 2007-09-18 |
KR100663799B1 (ko) | 2007-01-03 |
KR20040063828A (ko) | 2004-07-14 |
WO2004064407A2 (en) | 2004-07-29 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP2006513323A (ja) | 調整可能なガス分配プレートアセンブリ | |
JP5302865B2 (ja) | 大面積プラズマ化学気相堆積法のためのガス分配プレートアセンブリ | |
US10087524B2 (en) | Showerhead support structure for improved gas flow | |
US6730175B2 (en) | Ceramic substrate support | |
TWI412621B (zh) | 具有遮蔽板的陰影框 | |
US6827815B2 (en) | Showerhead assembly for a processing chamber | |
EP1167571A2 (en) | Showerhead for semiconductor processing chamber | |
KR101929192B1 (ko) | 샤워헤드 지지 구조들 | |
KR20010113558A (ko) | 세라믹 기판 지지체 | |
TWI819137B (zh) | 用以減少粒子產生的氣體擴散器組件 | |
CN112513325B (zh) | 减少粒子产生的气体扩散器支撑结构 | |
US20180340257A1 (en) | Diffuser for uniformity improvement in display pecvd applications |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A300 | Application deemed to be withdrawn because no request for examination was validly filed |
Free format text: JAPANESE INTERMEDIATE CODE: A300 Effective date: 20070306 |