CN1735956A - 可调式气体分配板组件 - Google Patents

可调式气体分配板组件 Download PDF

Info

Publication number
CN1735956A
CN1735956A CNA2003801084095A CN200380108409A CN1735956A CN 1735956 A CN1735956 A CN 1735956A CN A2003801084095 A CNA2003801084095 A CN A2003801084095A CN 200380108409 A CN200380108409 A CN 200380108409A CN 1735956 A CN1735956 A CN 1735956A
Authority
CN
China
Prior art keywords
plate
gas
gas distribution
adjustment
diffuser
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA2003801084095A
Other languages
English (en)
Inventor
温德尔·T·布伦尼格
约翰·M·怀特
威廉·A·巴格利
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN1735956A publication Critical patent/CN1735956A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/01Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour 
    • G02F1/13Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour  based on liquid crystals, e.g. single liquid crystal display cells
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Nonlinear Science (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Optics & Photonics (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本发明提供一种气体分配板组件及一在一制程室中用于分配气体的方法。在一具体实施例中,一气体分配板组件包括一耦合至一扩散板的调整板。该调整板具有多个通过该调整板而形成的孔洞,该等孔洞会对准多个通过扩散板而形成的开口,其中该等开口各具有比在该调整板内的孔要大的断面积。各开口是对准一各自的孔以界定通过该气体分配板组件的气体信道。该调整板可与一替换调整板互换,以改变通过该气体分配板组件的气体流动特征。

Description

可调式气体分配板组件
技术领域
本发明广义上涉及一种气体分配板组件及用于在一制程室中分配气体的方法。
背景技术
液晶显示器或平面面板通常用于主动矩阵显示器(诸如计算机与电视监视器)。大体上,平面面板至少包含二层夹置一液晶材料于其间的玻璃板。至少之一玻璃板包括至少一耦合至一电源供应器的导电膜置于其上。从电源供应器供应至该导电膜的电源改变液晶材料的方位,产生在显示器上可见的文字或图形的图样。一种经常用以生产平面面板的制造过程是等离子体增强化学气相沉积(PECVD)。
等离子体增强化学气相沉积大体上是用以沉积薄膜于一诸如平面面板或半导体晶片的基底上。等离子体增强化学气相沉积大体上是藉由导入一前驱气体进入一含有一平面面板的真空室而完成。该前驱气体通常是被导引通过一位置靠近制程室顶部的分配板。在该室内的前驱气体是藉由从一或多数耦合至该室的射频(RF)来源施加射频电源获得能量(如激发)成为一等离子体。被激发的气体反应后,在位于一温度控制基底支撑件上的平面面板的一表面上形成一层材料。在平面面板容置一层低温多晶硅的应用中,该基底支撑件可能被加热至超过摄氏400度。在反应中产生的挥发性副产品会从该室经由一排气系统抽出。
藉由PECVD技艺处理的平面面板通常为大型,时常超过360毫米×460毫米及一平方米的尺寸范围。特别是与用于200毫米与300毫米半导体晶片制程的气体分配板相比,用以提供一致制程气流横跨平面面板的气体分配板是依尺寸成比例加大。
用于平面面板制程的大型气体分配板具有一些导致气体分配板高制造成本的制造问题。例如,通过气体分配板形成的气流孔的直径相较于该气体分配板的厚度较小,导致在孔形成时钻头断裂情况高频率地发生。移走断裂钻头耗时且可能造成整个气体分配板被刮伤。此外,因为通过气体分配板而形成的气流孔数目是正比于平面面板的尺寸,形成于各板内的大量孔会不利地造成在制造气体分配板时的故障的高可能性。而且,高数量的孔与对钻头断裂最少化之关心的组合,会导致较长的制造时间,因而提高制造费用。
由于材料与制造气体分配板的费用很高,以一可有效率地与费用经济地制造的配置研发出一气体分配板将会具有优势。而且,为配合制程超过1.44平方公尺的平面面板,下一代气体分配板的尺寸会增加,上述问题的解决日渐重要。
因此,需求一经改进的气体分配板。
发明内容
本发明提供一种气体分配板组件及用于在一制程室中分配气体的方法。在一具体实施例中,一气体分配板组件包括一耦合至一扩散板的调整板。该调整板具有多个通过其而形成的孔洞,该等孔洞会对准通过扩散板形成的多个开口,其中该等开口各具有比在调整板内的孔要大的断面积。各开口是对准一各自的孔以界定通过气体分配板组件的气体信道。该调整板可与一替换调整板互换,以改变通过该气体分配板组件的气体流动特征。
附图说明
本发明的例示可藉由参考上述结合附图的详细说明易于明了,其中:
图1是依具有本发明的气体分配板组件的一制程室的具体实施例的概要断面图;
图2A是图1中所示气体分配板组件的爆炸立体图;
图2B是一气体分配板组件的另一具体实施例的部份立体断面图;
图3是图2A中所示气体分配板组件的断面图;
图4是图2A中所示气体分配板组件的部份上视图;
图5是经由图3的气体分配板组件形成的气体信道的部份断面图;
图6是图2A中的气体分配板组件的部份爆炸图,其显示一分离式固定件系统;
图7A至7B是具有一替换调整板的一具体实施例的气体分配板组件的平面图;
图8A至8B是具有一替换调整板的另一具体实施例的气体分配板组件的平面图;及
图9例示一气体分配板组件的另一具体实施例。
为有助于了解,已使用相同的参考号码(尽可能地)指图式中共同的相同组件。
【组件代表符号简单说明】
100系统             102制程室
104气体来源         106壁
108底部             110盖组件
112制程容积         114抽吸加压通气室
116穿孔区           118气体分配板组件
120内侧             122电源来源
124铝本体           126底侧
128孔               130电源来源
132加热器           134上侧
138基底支撑组件     140基底
142主轴             146伸缩套管
148遮蔽框架         150提升销
154提升板           156轴环
168上部             170下部
180埠               182清洗源
202调整板           204扩散板
206背板             216延伸托架
218开口             250气体通道
308加压通气室       340外表面
342衬套             344销
346定位特征          352孔洞
354开口              360第一凸缘
362主体              364第二凸缘
366安装孔            368螺孔
370固定件            372槽
374定位销            376孔
502内孔              504喇叭状末端
506第一侧            508第二侧
510内孔              512喇叭状末端
514第一侧            516第二侧
518不连续边缘        520不连续边缘
600固定件系统        602固定件
604螺帽              606头部
610螺纹部份          612平底扩孔
614上表面            616孔
618孔                620颈部
622槽                624孔
700组件              702A第一调整板
702B替换调整板       704扩散板
706孔洞              708孔洞
710气体信道          800组件
802A调整板           802B调整板
804扩散板            806孔洞
808孔洞              810气体通道
900组件              902调整板
904扩散板            906孔洞
具体实施方式
本发明广义上提供一种气体分配板组件及用于在一制程室中调整气体传送的方法。本发明以下的示范性说明是参考一经配置以处理大面积基底的等离子体增强化学气相沉积系统,诸如来自AKT(美国加州圣塔克拉市应用材料公司的分部)的等离子体增强化学气相沉积(PECVD)系统。然而,应了解本发明可应用在其它系统配置中,诸如蚀刻系统、其它化学气相沉积系统及任何其中需求在一制程室中分配气体的其它系统,包括经配置以处理圆形基底的该等系统。
图1是一等离子体增强化学气相沉积系统100的具体实施例的一断面图。系统100大体上包括一耦合至一气体来源104的制程室102。制程室102具有可部份界定一制程容积112的壁106与一底部108。制程容积112通常是经由在壁106上的一埠(未显示)接取,其有助于一基底140移入与移出制程室102。壁106与底部108通常是从一整块铝或其它与制程能兼容的材料制成。壁106支撑一盖组件110,该盖组件110含有一将制程容积112耦合至一排气埠(包括各种未显示的抽吸组件)的抽吸加压通气室114。
一温度控制基底支撑组件138是中置于制程室102内。支撑组件138在制程时会支撑基底104。在一具体实施例中,基底支撑组件138至少包含一封入至少一内嵌式加热器132的铝本体124。
置于支撑组件138内的加热器132(诸如一电阻组件)是耦合至一电源来源130且可控制地加热支撑组件138与位于其上的基底140至一预定温度。通常在一CVD制程中,取决于被沉积于基底140上的材料的沉积制程参数而定,加热器132维持基底140在介于约摄氏150到至少约460度间的一致温度。
大体上,支撑组件138具有一底侧126与一上侧134。上侧134支撑基底140。底侧126具有一与其耦合的主轴142。主轴142耦合支撑组件138至一提升系统(未显示),该提升系统在一升高制程位置(如图标)与一有助于将基底转移至/自制程室102的较低位置间移动支撑组件138。主轴142额外地提供一导管,供在支撑组件138与系统100的其它组件间的电与热电偶线使用。
一伸缩套筒146被耦接在支撑组件138(或主轴142)与制程室102的底部108间。伸缩套筒146提供一在制程室容积112与制程室102外部大气间的真空气密,而有助于支撑组件138的垂直运动。
支撑组件138大体上是接地,使得由一电源来源122供应予位于盖组件110与基底支撑组件138(或位于或接近该室的盖组件的其它电极)间的气体分配板组件118的射频电源,可激发出现在支撑组件138与分配板组件118间的制程容积112内的气体。来自电源来源122的射频电源大体上是经选择以与该基底的尺寸相称,以驱动该化学气相沉积制程。
支撑组件138额外地支撑一限制周界的遮蔽框架148。大体上,遮蔽框架148防止沉积在基底140与支撑组件138的边缘,使得基底不会黏在支撑组件138。
支撑组件138具有多个通过其的孔128,以容纳多个提升销150。提升销150通常是由陶瓷或经电镀的铝构成。大体上,当提升销是在一正常位置(即相对支撑组件138被抽回)时,提升销150具有实质上与支撑组件138的上侧134齐平或稍为凹下的第一端。该第一端通常会呈喇叭状以防止提升销150掉下通过孔128。此外,提升销150具有一延伸至支撑组件138底侧126之上的第二端。提升销150可由一提升板154相对支撑组件138致动,以从支撑面130突出,因而将基底置于与支撑组件138分开的位置。
提升板154被置于基底支撑组件138的底侧126与制程室102的底部108间。提升板154是藉由一环绕主轴142一部份的轴环156连接至一致动器(未显示)。伸缩套筒146包括一上部168与一下部170,其等允许主轴142与轴环156独立移动,而维持制程容积112与制程室102外部的环境隔离。大体上,当支撑组件138与提升板154彼此相对移近时,提升板154被致动以造成提升销150从上侧134伸出。
盖组件110形成该制程容积112之一上边界。该盖组件110通常可移除或开启以维修该制程室102。于一具体实施例中,该盖组件110系由铝制成。
盖组件110包括一耦合至外部泵系统(未显示)的抽吸通气室114形成于其内。抽吸通气室114是用以一致地从制程容积112传送气体且使处理的副产品离开制程室102。
盖组件110通常包括一进入口180,由气体来源104提供的制程气体是经由该进入口180导入制程室102。进入口180也耦合至一清洗源182。清洗源182通常提供一清洁剂(诸如游离氟)导入制程室102,以从制程室硬件(包括气体分配板组件118)移除沉积副产品及薄膜。
气体分配板组件118被耦合至盖组件110的内侧120。气体分配板组件118通常是经配置以实质上跟着基底140的轮廓,例如大面积基底的多边形与晶片的圆形。气体分配板组件118包括一穿孔区域116,经由该区域由气体来源104供应的制程与其它气体被传送至制程容积112。气体分配板组件118的穿孔区域116经配置以提供一致分配的气体,通过气体分配板组件118进入制程室102。一可适于受益自本发明的气体分配板组件是揭示于2001年8月8日由Keller等申请的美国专利申请第09/922,219号中,且以引用方式全数并入本文。
图2A例示气体分配板组件118的具体实施例的一爆炸图。气体分配板组件118通常包括耦合在一起的一扩散板204与一背板206且夹置一调整板202于其等间。调整板202与背板206可有选择地至少包含一单一的一体构件(如图2B中显示)。
多个气体信道250(以点线表示通过分离的板202、204)经形成通过调整板202与扩散板204,以允许一预定分配的气体信道气体分配板组件118且进入制程容积112。
背板206通常是由不锈钢、铝或镍或其它射频导体材料(RF conductivematerial)所制造。背板206包括多个对准气体通道250的开口218,以有助于使气流无障碍地通过背板206到达气体通道250。或者,背板206可经配置成一框架,以露出延伸通过调整板202与扩散板204的穿孔区域116。背板206大体上提供一安装面,用于耦合调整板202至盖组件110或室壁106。在图2A与图2B例示的具体实施例中,背板206是由一延伸托架216耦合至盖组件110。
图3是图2A的扩散组件118与延伸托架216的断面图。延伸托架216通常是由不锈钢、铝或镍或其它射频导体材料所制造。延伸托架216维持调整板202与盖组件110的内表面120为一分开的关系,且界定一加压通气室308于其等间。加压通气室308允许气体流经盖组件110以一致地分布在调整板202的整个宽度,使得气体是一致地供应至中央穿孔区域116之上。
延伸托架216与调整板202是以一有助于调整板202(与扩散板204)的热膨胀与收缩的方式耦合,而不会以影响气流一致通过气体分配板组件118的方式翘曲、变形或使板202、204不当地受力。
在一具体实施例中,延伸托架216是一多边形框架,其包括一从主体362向外延伸的第一凸缘360与一依与第一凸缘360相反的方向往内延伸的第二凸缘364。另一选择是,延伸托架216可为一有凸缘的圆柱。第一凸缘360包括多个安装孔366,各对准一形成于盖组件110内的螺孔368。孔固定件370是分别地通过安装孔366且螺入螺孔368以固设延伸托架216至盖组件110。
第二凸缘364包括多个分别留置一定位销374的孔376于其内。定位销374(其中之一显示于图3中)从第二凸缘364朝第一凸缘362与盖组件110的内表面120向上延伸。通过背板206(或调整板202)形成的槽372是适于分别容纳一销374。如图4的延伸托架216的部份断面上视图中所示,在背板206中的槽372相对于定位销374是足够大,以允许气体分配板组件118相对定位销374移动,以补偿在盖组件110/延伸托架216与气体分配板组件118间的热膨胀差异。如图4所示,槽372通常沿正交方向的各边定位,以配合板构件118在二轴向的膨胀。或者是,槽372可径向地配置用于圆形气体分配板。因此,当气体分配板组件118加热及冷却时,分配板组件118是自由地相对盖组件110移动,且因而维持不致有造成气体分配板组件118弯曲或改变通过气体分配板组件118的气流模式的翘曲或其它受力情形。
请回至图3,调整板202通常是由不锈钢、铝或镍或其它射频导体材料所制造。调整板202通常是扩散板204厚度的约十分之一。调整板202包括多个孔洞352,各分别至少包含气体通道250中之一的第一部份。相较于通过扩散板204形成而至少包含气体通道250第二部份的开口354,通过调整板202形成的气体通道250的第一部份352通常具有一对流动较低的阻抗。孔洞352通常经配置以提供一对通过气体分配板组件116的气体的一流动限制,因而依一预定模式干扰横跨板116的宽度的气体。通过第一部份352的流动阻抗可经由选择调整板202的孔直径与厚度的组合,经配置以具有一预定压力降。第一部份352的流动阻抗也可藉使用在孔内的表面纹理、切角或孔边缘的半径或藉由其它方法加以设定。
通常,孔洞352具有一介于约0.2毫米至约10.0毫米间的直径。孔洞352可具有一横跨穿孔区域116宽度的一致断面积,或者一些孔洞352可经配置与如以下参考图7A至7B说明中的其它孔洞不同。在图3例示的具体实施例中,气体信道250是一致地配置有相同的断面积。由于调整板202相较于习知气体分配板是相对较薄,孔洞将易于制造、去锐边及清洁,有利地允许有效地及费用经济地制造调整板202,同时使来自微粒、碎屑或其它通常在习知气体分配板组件中形成的狭长孔中被捕捉的污染物所可能造成的特定污染降至最低。
在一具体实施例中,调整板202与背板206是由未电镀的铝制造。已发现来自清洁剂中的氟会污染电镀层,其在沉积中会被释出且被沉积膜捕捉到成为一污染物。由于调整板202与背板206是是直接曝露于经由盖组件110内的端口180进入制程室102的清洁剂,消除面对盖组件110的调整板202与背板206的表面的电镀,已经发现可有利地减少出现在沉积于基底140上的薄膜内的氟量。而且,因为形成在调整板202内的小直径、高深宽比的孔洞352并未受到电镀制程的多重瀑洗(multiple bath),因此孔洞352可免除瀑洗残余物的污染(通常由如此小、高深宽比孔中移除电镀槽残余物相当困难,因为该残余物随后会在处理制程时污染基底,或造成一或多数孔被干燥的残余物阻塞,导致不一致沉积)。
扩散板204通常是由不锈钢、铝或镍或其它可传导射频的材料制程。扩散板204大体上是约0.855英寸到至少约1.8英寸厚,且提供气体分配板组件118主要的加强。
扩散板204包括多个对准孔洞352的开口354,以形成气体通道250。形成于扩散板204的开口354相较于孔洞352,通常实质上对气流没有提供限制。在图3图所示的具体实施例中,由于气体分配板组件118比习知设计能减低实质的制造费用,因此开口354未如习知气体分配板形成一通过单板的一体孔洞。
在一具体实施例中,扩散板204是由经电镀的铝制造。面对基底支撑组件138的扩散板204的电镀表面在制程时是曝露于等离子体。已经验证具有从已电镀铝制成的扩散板204对制程稳定性与沉积一致性具有贡献。因此,在扩散板204是经电镀而调整板202则非经电镀的具体实施例中,气体分配板组件118能以费用经济的方式制造,是藉由只对该电镀可增进制程的该等板加以电镀,同时消除电镀表面或电镀制程在沉积时造成污染的电镀。
图5是板组件118的具体实施例的一断面图,示范形成一气体通道250的具体实施例时,孔洞352与开口354的对准排列。孔洞352包括一内孔502及一喇叭状末端504。内孔502大体上从调整板202的一第一侧506延伸至喇叭状末端504。喇叭状末端504的断面积大体上逐渐增加到调整板202的第二侧508的表面处才终止。喇叭状末端504可补偿孔洞352与开口354间些许的不对准。
此外,在喇叭状末端504与内孔502间的相对长度可经选择以改变孔洞352的流动限制特性。例如,喇叭状末端504可进一步延伸进入调整板202,因而降低内孔502的相对长度,会减低孔洞352的流动阻抗。在另一实例中,可增加界定喇叭状末端504的喇叭状的角度以减低其对孔洞352的整体阻抗的影响。孔洞352的流动限制特性可受其它方式影响,除其它流动限制特性外另包括孔的断面轮廓(轴向及/或横向)与内孔内部的平滑度/粗糙度、内孔的相对长度(相对于在相同板内的喇叭状断面,或取决于该板厚度)。
开口354包括一内孔510与一喇叭状末端512。内孔510大体上从扩散板204的一第一侧514延伸至喇叭状末端512。喇叭状末端512的断面积大体上逐渐增加直到扩散板204的第二侧516的表面处才终止。开口354可视需要具有可增进某些沉积制程的其它配置。例如,完整地延伸内孔510到扩散板204的第二侧已证实在一些沉积制程时有利于分配气体。一具有可适于受益于本发明的一类似气体信道配置的扩散板组件是揭示于2002年5月6日申请的美国专利申请案10/140,324号中,其是以引用的方式并入本文。
孔洞352与开口354在调整板202的第二侧508与扩散板204的第一侧514具有不连续的边缘518、520。不连续边缘518、520可为一半径或倒角,且是经配置以当气流通过介于调整板202与扩散板204间的气体通道250时,使感生的乱流最小。孔352与开口354可具有替代性配置,例如,笔直的内孔或复杂的轮廓。
请回顾图3,多个定位特征346是被置于调整板202与扩散板204间,以保持至少包含气体通道250的孔洞352与开口354间的对准。在一具体实施例中,定位特征346是多个置于调整板202、扩散板204与背板206间的定位销344(已显示其中之一)。在图3所示的具体实施例中,定位销344从扩散板204延伸以啮合一经压配通过背板206的配合衬套342。各衬套342的一外表面340使得调整板202定位至背板206。销344可加以定位,使得至少包含气体通道250的孔洞352与开口354的对准,以及调整板202与扩散板204间的预定方位得以确保。调整板202与扩散板204可依任何种方式固设在一起,包括固定件、铆钉、螺丝、软焊、焊接、黏着、夹具与其类似者。
图6是调整板202与扩散板204的部份爆炸断面图,显示使用在一具体实施例中以正常的间隙固设背板206与调整板202至扩散板204代表性分离式固定系统600。各分离式固定件系统600通常包括一固定件602与一配合螺帽604,其等二者通常均由铝或其它适合材料制造。在利用铝固定件以使制程时固定件材料效应最低的应用中,分离式固定件系统600允许调整板202与扩散板204可被分开,而习知铝固定件将会卡住而需要移除且再螺入组件。
固定件602具有一头部606、一柄部608及一螺纹部份610。头部606通常是置于一形成在背板206的上表面614(或在未纳入背板206的具体实施例中于孔洞202内)内的平底扩孔612。一孔616是通过背板206形成而与平底扩孔612同心,以容置固定件604的柄部608。柄部608通过一经由调整板202形成而与孔616同心地对准的孔618。柄部608通常包括一当固定件602承受一超过一预定量的扭矩时可适于切变的颈部620。
螺帽604通常是置于一形成在扩散板204的第二侧416内的槽622。槽622是与一通过扩散板204形成的孔624连通,当该等板202、204、206是彼此靠紧定位时,孔624是适于与孔616、618同心地对准。柄部608通过孔616、618、624以露出螺纹部份610于槽622中。置于槽620中的螺帽602是与固定件602的螺纹部份610配合。槽620经配置以当固定件602被螺紧而迫使板202、204、206彼此靠紧时,防止螺帽604旋转。
分离式固定件系统600具有一些优势。首先,当脏污、阻塞、损坏或需提供适用于一特定沉积制程的一不同开口354配置时,可用一新扩散板204更换一使用过的扩散板204。只更换该扩散板204提供比更换整个气体分配板116实质上费用更经济的方式。此外,可使用一经由至少包含气体通道250第一部份的孔洞352而有不同流动特征的替换调整板202更换调整板202。例如,孔洞352的断面积在盖板间可以不同,因而改变通过气体分配板组件118的流动特征,有利地提供另一特性,经由其可实现对制程的控制或有助于在相同制程室102内不同的沉积制程。
图7A至7B显示一气体分配板组件700的断面图,图7A中显示具有第一调整板702A,而图7B图中显示具有一替换调整板702B,二者均耦合至一扩散板704。虽然所示的第一调整板702A是藉由一延伸托架216耦合至一盖组件110,气体分配板组件700的第一调整板702A可被直接耦合至盖110。
在一具体实施例中,调整板702A具有一第一厚度且包括多个具有一第一直径(其形成气体通道710的一部份)的孔洞706通过其形成。该板的厚度与孔直径的组合提供各孔洞706一预定的流通阻抗,该阻抗可经选择以调整流体经由气体分配板组件700的气体信道710的流动,以增进一预定沉积制程的效能。
调整板702B具有一第二厚度且包括多个具有一通过其形成的第二直径(其形成气体通道710的一部份)的孔洞708。调整板720B的厚度与调整板702A的厚度不同(即较厚或较薄)。在一具体实施例中,孔洞708的第二直径是与孔洞706的第一直径相同。因此,板702A、702B间的板厚度的差异导致在气体通道710的流动阻抗的改变,因此允许调整气体分配板组件700的流动特征,以使一后续沉积制程最佳化而无须更换整个气体分配板组件700。
图8A至8B例示具有一调整板与一扩散板804的气体分配板组件800的另一具体实施例。气体分配板组件800的流动特征的调整,可藉由转换一耦合至扩散板804的调整板,从相同厚度的一第一调整板802A至一第二调整板802B。调整板802A的孔洞606具有第一直径。调整板802B的孔洞608具有与第一直径不同的第二直径。因此,板802A、802B间的孔洞直径的差异导致在该流动阻抗上的改变,容许有利地调整气体分配板组件800的流动特征以适应制程的改变。视需要,板802A、802B的厚度也可加以调整以提供一较大范围的流动控制。
图9显示一气体分配板组件900的另一具体实施例。气体分配板组件900包括一调整板902,其耦合至一具有气体通道910l…910i的扩散板904,其中i是一正整数,代表一最外面的气体通道。扩散板904类似于上述的扩散板204。调整板902具有多个孔洞906l…906i,各形成气体通道910l…910i的一部份。孔洞906l至906i中至少二孔洞的直径是不同,以提供制程气体通过气体分配板组件900的一预定、不一致的流动分配。例如,孔洞906i-1的直径可大于孔洞906i的直径,导致在位于气体分配板组件900下的基底的边缘处的气体流动减少。另一选择是,孔洞906i-1的直径可小于孔洞906i的直径,导致在基底的边缘处的气体流动增加。906l至910i中一些孔洞可具有相同的直径。
可预期调整板902的流动特征的调整可如上述藉由以具有不同流动特性的一第二调整板更换第一调整板。例如,与第一调整板的孔洞相较,第二调整板的孔洞的断面积可具有一不同分布。或者是该等调整板间的厚度可有变化,或其它提供通过气体分配板组件800的需求流动阻抗的变化的组合。
因此,本发明提供一制造费用经济的气体分配板组件。再者,该气体分配板组件是经配置以使制造时可能产生而在制程中释放的微粒与污染最少。所提供的该气体分配板组件进一步有利地允许气体流动特征可由更换该组件的一板而调整。此外,本发明已提供一种用于耦合该气体分配板组件的该等板的系统与方法,可克服通常会损坏用于习知气体分配板中的固定件的冲蚀与卡住问题。
虽然已详加显示与说明纳入本发明的例示的数个较佳具体实施例,熟习此项技术的人士可易于了解许多仍纳入此等例示的各种具体实施例。

Claims (43)

1.一种用于一制程室的气体分配板组件,至少包含:
一调整板,是具有多个通过该调整板而形成的孔洞;及
一靠抵该调整板置放的扩散板,该扩散板具有多个通过该扩散板而形成的开口,各开口对准在该调整板内的一各自的孔洞且界定一通过该气体分配板组件的气体信道,该开口具有的断面积大于在该调整板内的该孔洞的断面积。
2.如权利要求1所述的气体分配板组件,其中该调整板是比该扩散板薄。
3.如权利要求1所述的气体分配板组件,其中该调整板与该扩散板是由铝构成。
4.如权利要求1所述的气体分配板组件,其中该调整板背对该扩散板的一表面是未经电镀的铝;及
其中该扩散板背对该调整板的一表面是经电镀。
5.如权利要求1所述的气体分配板组件,其中该调整板是藉由至少一固定件耦合至该扩散板。
6.如权利要求5所述的气体分配板组件,其中该固定件更包含:
一铝固定件;及
一铝螺帽。
7.如权利要求6所述的气体分配板组件,其中该铝固定件更包含一颈部区域,是当承受一超过一预定量的应力时适于切变(shear)。
8.如权利要求1所述的气体分配板组件,其中该至少一孔洞至少包含:
一耦合至一喇叭状部份的内孔,该喇叭状部份是自靠该扩散板置放的该调整板的一第一侧离开。
9.如权利要求1所述的气体分配板组件,其中至少一开口更包含:
一耦合至一喇叭状部份的内孔,该喇叭状部份是自背对该调整板的该扩散板的一第一侧离开。
10.如权利要求1所述的气体分配板组件,其中至少一气体通道开口更包含:
一靠抵该扩散板置放的该孔洞的半径或倒角边缘(chamfer edge);及
一靠抵该调整板置放的该开口的半径或倒角边缘。
11.如权利要求1所述的气体分配板组件,更包含:
一耦合至该调整板的背板,该调整板是在该扩散板的相反侧。
12.如权利要求11所述的气体分配板组件,更包含:
一延伸托架,是围绕该背板且以一配合热膨胀的差异的方式与该背板耦合。
13.如权利要求12所述的气体分配板组件,其中该延伸托架更包含:
一凸缘,该凸缘具有多个从其延伸且适于与形成于该背板中的一槽配合的销。
14.如权利要求1所述的气体分配板组件,其中该扩散板是多边形。
15.如权利要求1所述的气体分配板组件,其中该扩散板是一圆盘。
16.如权利要求1所述的气体分配板组件,其中至少一通过该调整板形成的该等孔洞具有一与另一孔洞不同的流动限制特性。
17.如权利要求1所述的气体分配板组件,其中该等多个孔洞更包含:
一第一组孔洞;及
至少一第二组孔洞,该第二组孔洞是朝该第一组孔洞的内部置放,且具有一与该等一组孔洞不同的流动限制特性。
18.如权利要求1所述的气体分配板组件,其中该流动限制特性是从由断面积、孔断面轮廓、内孔平滑度、内孔深度、该孔洞的同心喇叭状断面的长度与角度及孔洞倒角的大小所组成的孔洞特性群中选出。
19.一种气体分配板组件,至少包含:
一具有一第一表面与一第二表面的第一板,该第一表面未经电镀;
一耦合至该第一板的第二板,该第二板具有一靠抵该第一板的该第二侧置放的第一侧及一经电镀的第二侧;
多个气体通道,是各具有一通过该第一板形成的第一部份及通过该第二板形成的第二部份。
20.如权利要求19所述的气体分配板组件,其中该第二板是比该第一板厚。
21.如权利要求19所述的气体分配板组件,更包含:
一通过该第一板与该第二板置放的铝固定件,该固定件具有一当承受超过一预定量的一应力时适于切变的颈部区域;及
一配合该固定件的铝螺帽。
22.如权利要求21所述的气体分配板组件,其中该第二板更包含一适于容置该螺帽的槽。
23.如权利要求19所述的气体分配板组件,其中该等多个气体通道更包含:
至少一第一内孔,是通过该调整板形成且具有一离开该调整板的一侧而靠抵该扩散板置放的喇叭状部份;
24.如权利要求19所述的气体分配板组件,其中该等多个气体通道更包含:
至少一第一内孔,是通过该第一板形成且具有一离开该第一板的一侧而靠抵该第二板置放的喇叭状部份;及
至少一第二内孔,是通过该第一板形成且朝该第一内孔的内部置放,该第二内孔具有一与该第一内孔不同的流动限制特性。
25.一种制程系统,至少包含:
一具有壁与一底部的制程室;
一盖组件,是置于该等壁上且限制一界定于该制程室内的制程容积;
一置于该制程容积内的基底支撑件;
一调整板,是具有一与该盖组件分开置放的第一表面及一第二表面;
一扩散板,是比该调整板厚且与其耦合,该扩散板具有一靠抵该调整板的该第二侧置放的第一侧与一第二侧;及
多个气体通道,是各具有一通过该调整板形成的第一部份及一通过该扩散板形成的第二部份,其中该气体通道的该第一部份对液体流动的限制是比该第二部份多。
26.如权利要求25所述的制程系统,其中该扩散板的该第二侧是经电镀,而该调整板面对该盖组件的该第一表面是未经电镀。
27.如权利要求25所述的制程系统,其中该扩散板是藉由多个分离式固定件耦合至该调整板。
28.如权利要求25所述的制程系统,其中各该气体通道更包含:
一第一组气体通道;及
至少一第二组气体通道,是朝该第一组气体通道的内部置放且具有一与该等一组气体通道不同的流动限制特性。
29.一种制程系统,至少包含:
一具有壁与一底部的制程室;
一盖组件,是置于该等壁上且限制一界定于该制程室内的制程容积;
一置于该制程容积内的基底支撑件;
一调整板,是具有一与该盖组件分开置放的第一未经电镀表面及一第二表面;
一扩散板,是具有一靠抵该调整板的该第二侧置放的第一侧与一第二经电镀侧;
多个通过该调整板与该扩散板的气体通道。
30.如权利要求29所述的制程系统,其中各该气体通道更包含:
一通过该调整板形成的孔洞;及
一通过该扩散板形成的开口,其中该孔洞的限制是比该开口多。
31.如权利要求29所述的制程系统,更包含:
一延伸托架,是围绕该调整板且以一配合热膨胀的差异的方式与该调整板耦合。
32.如权利要求31所述的制程系统,其中该延伸托架更包含:
一凸缘,该凸缘具有多个从其延伸且适于与形成于该调整板中的一槽配合的销。
33.如权利要求29所述的制程系统,其中该扩散板是藉由多个分离式固定件耦合至该调整板。
34.如权利要求25所述的制程系统,其中各该气体通道更包含:
一第一组气体通道;及
至少一第二组气体通道,是朝该第一组气体通道的内部置放,且具有一与该等第一组气体通道不同的流动限制特性。
35.一种在一制程室中用于经由通过至少包含一第一调整板与一扩散板的一气体分配板所形成的一气体通道以分配气体的方法,该气体通道具有一通过该第一调整板形成的第一部份及一通过该扩散板形成的邻近第二部份,该方法至少包含:
依一预定流动分配流动一制程气体通过该等多个通过该气体分配板形成的气体通道;及
改变该气体分配板的至少一流动限制特性,以改变该预定流动分配。
36.如权利要求35所述的方法,其中改变该气体分配板的至少一流动限制特性的步骤更包含:
以一第二调整板更换该第一调整板,其中该第二调整板具有通过该第二调整板而形成的该气体通道的一第一部份,该第一部份是与通过该第一调整板形成的该气体通道的该第一部份不同。
37.如权利要求36所述的方法,其中改变至少一流动限制特性的步骤更包含:
当与该第一调整板比较时,是相对该第二调整板一较外边区域处的流动阻抗而增加该第二调整板中心处的一流动阻抗。
38.如权利要求36所述的方法,其中改变至少一流动限制特性的步骤更包含:
当与该第一调整板比较时,是相对该第二调整板一较外边区域处的流动阻抗而降低该第二调整板中心处的一流动阻抗。
39.如权利要求36所述的方法,其中改变至少一流动限制特性的步骤更包含:
当与该第一调整板比较时,增加或降低该第二调整板的一流动阻抗。
40.如权利要求35所述的方法,其中以一第二调整板更换该第一调整板的步骤更包含:
切变至少一在一预定位置耦合该第一调整板至该扩散板的固定件。
41.一种用于在一制程室中经由一通过至少包含一第一调整板与一扩散板的一气体分配板所形成的气体通道以分配气体的方法,该气体通道具有一通过该第一调整板形成的第一部份及一通过该扩散板形成的邻近第二部份,该方法至少包含:
依一预定流动分配流动一制程气体通过该等多个通过该气体分配板形成的气体通道;及
改变该气体分配板的至少一流动限制特性,以改变该预定流动分配。
42.如权利要求41所述的方法,其中改变至少一流动限制特性的步骤更包含:
更换该调整板。
43.如权利要求42所述的方法,其中改变的步骤更包含:
切变一耦合该调整板至该扩散板的固定件。
CNA2003801084095A 2003-01-07 2003-12-31 可调式气体分配板组件 Pending CN1735956A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/337,483 2003-01-07
US10/337,483 US7270713B2 (en) 2003-01-07 2003-01-07 Tunable gas distribution plate assembly

Publications (1)

Publication Number Publication Date
CN1735956A true CN1735956A (zh) 2006-02-15

Family

ID=32681251

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA2003801084095A Pending CN1735956A (zh) 2003-01-07 2003-12-31 可调式气体分配板组件

Country Status (6)

Country Link
US (1) US7270713B2 (zh)
JP (1) JP2006513323A (zh)
KR (1) KR100663799B1 (zh)
CN (1) CN1735956A (zh)
TW (1) TW200416297A (zh)
WO (1) WO2004064407A2 (zh)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101333651B (zh) * 2007-06-22 2011-08-24 应用材料股份有限公司 散射器支撑
CN104995719A (zh) * 2013-01-25 2015-10-21 应用材料公司 具有可拆卸式气体分配板的喷淋头
CN105506577A (zh) * 2016-03-02 2016-04-20 安徽纯源镀膜科技有限公司 一种类金刚石薄膜离子源中离子的引出装置
CN107111248A (zh) * 2014-12-31 2017-08-29 Asml控股股份有限公司 通过非均匀气流的掩模版冷却
CN108570662A (zh) * 2017-03-08 2018-09-25 株式会社东芝 喷淋板、处理装置和喷出方法
CN109119322A (zh) * 2018-07-27 2019-01-01 上海硕余精密机械设备有限公司 一种磁增强型等离子体源
CN112262228A (zh) * 2018-06-08 2021-01-22 应用材料公司 用于平板处理设备的温控气体扩散器

Families Citing this family (419)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6772827B2 (en) * 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
KR100776843B1 (ko) * 2001-02-09 2007-11-16 동경 엘렉트론 주식회사 성막 장치 및 Ti막 성막 장치
US20050081788A1 (en) * 2002-03-15 2005-04-21 Holger Jurgensen Device for depositing thin layers on a substrate
US7534363B2 (en) * 2002-12-13 2009-05-19 Lam Research Corporation Method for providing uniform removal of organic material
US7169231B2 (en) * 2002-12-13 2007-01-30 Lam Research Corporation Gas distribution system with tuning gas
US6942753B2 (en) * 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
KR100965758B1 (ko) * 2003-05-22 2010-06-24 주성엔지니어링(주) 액정표시장치용 플라즈마 강화 화학기상증착 장치의샤워헤드 어셈블리
US20040235299A1 (en) * 2003-05-22 2004-11-25 Axcelis Technologies, Inc. Plasma ashing apparatus and endpoint detection process
US8580076B2 (en) * 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
US20050098106A1 (en) * 2003-11-12 2005-05-12 Tokyo Electron Limited Method and apparatus for improved electrode plate
US20050103265A1 (en) * 2003-11-19 2005-05-19 Applied Materials, Inc., A Delaware Corporation Gas distribution showerhead featuring exhaust apertures
JP4698251B2 (ja) * 2004-02-24 2011-06-08 アプライド マテリアルズ インコーポレイテッド 可動又は柔軟なシャワーヘッド取り付け
JP4451684B2 (ja) * 2004-03-17 2010-04-14 キヤノンアネルバ株式会社 真空処理装置
US20050223983A1 (en) * 2004-04-08 2005-10-13 Venkat Selvamanickam Chemical vapor deposition (CVD) apparatus usable in the manufacture of superconducting conductors
US20050223986A1 (en) * 2004-04-12 2005-10-13 Choi Soo Y Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US20050233092A1 (en) * 2004-04-20 2005-10-20 Applied Materials, Inc. Method of controlling the uniformity of PECVD-deposited thin films
US7785672B2 (en) * 2004-04-20 2010-08-31 Applied Materials, Inc. Method of controlling the film properties of PECVD-deposited thin films
US8328939B2 (en) * 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US20060005771A1 (en) * 2004-07-12 2006-01-12 Applied Materials, Inc. Apparatus and method of shaping profiles of large-area PECVD electrodes
US8074599B2 (en) * 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
CN101871099B (zh) * 2004-07-12 2013-09-25 应用材料公司 通过气体分散器弯曲性的等离子体均匀度控制
US20060021703A1 (en) * 2004-07-29 2006-02-02 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
JP2006049544A (ja) * 2004-08-04 2006-02-16 Canon Anelva Corp 基板処理装置及びこれを用いた基板処理方法
KR20060014495A (ko) * 2004-08-11 2006-02-16 주식회사 유진테크 화학기상증착장치의 샤워헤드
US7429410B2 (en) * 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
US20060090773A1 (en) * 2004-11-04 2006-05-04 Applied Materials, Inc. Sulfur hexafluoride remote plasma source clean
KR101153161B1 (ko) * 2005-04-01 2012-06-18 주성엔지니어링(주) 가스분사장치 및 이를 포함하는 액정표시소자의 제조장치
US20060266288A1 (en) * 2005-05-27 2006-11-30 Applied Materials, Inc. High plasma utilization for remote plasma clean
TWI295816B (en) 2005-07-19 2008-04-11 Applied Materials Inc Hybrid pvd-cvd system
US8709162B2 (en) * 2005-08-16 2014-04-29 Applied Materials, Inc. Active cooling substrate support
US20070044714A1 (en) * 2005-08-31 2007-03-01 Applied Materials, Inc. Method and apparatus for maintaining a cross sectional shape of a diffuser during processing
US7641762B2 (en) * 2005-09-02 2010-01-05 Applied Materials, Inc. Gas sealing skirt for suspended showerhead in process chamber
US20070056843A1 (en) * 2005-09-13 2007-03-15 Applied Materials, Inc. Method of processing a substrate using a large-area magnetron sputtering chamber with individually controlled sputtering zones
US20070056845A1 (en) * 2005-09-13 2007-03-15 Applied Materials, Inc. Multiple zone sputtering target created through conductive and insulation bonding
CN100405537C (zh) * 2005-12-07 2008-07-23 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体反应装置
US20070163716A1 (en) * 2006-01-19 2007-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. Gas distribution apparatuses and methods for controlling gas distribution apparatuses
US20070254112A1 (en) * 2006-04-26 2007-11-01 Applied Materials, Inc. Apparatus and method for high utilization of process chambers of a cluster system through staggered plasma cleaning
US7932181B2 (en) * 2006-06-20 2011-04-26 Lam Research Corporation Edge gas injection for critical dimension uniformity improvement
US7776178B2 (en) * 2006-10-25 2010-08-17 Applied Materials, Inc. Suspension for showerhead in process chamber
US8702866B2 (en) 2006-12-18 2014-04-22 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
US8069817B2 (en) * 2007-03-30 2011-12-06 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
US7942969B2 (en) * 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
TWI349720B (en) * 2007-05-30 2011-10-01 Ind Tech Res Inst A power-delivery mechanism and apparatus of plasma-enhanced chemical vapor deposition using the same
US7862682B2 (en) * 2007-06-13 2011-01-04 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
US8187414B2 (en) * 2007-10-12 2012-05-29 Lam Research Corporation Anchoring inserts, electrode assemblies, and plasma processing chambers
SG187387A1 (en) * 2007-12-19 2013-02-28 Lam Res Corp Film adhesive for semiconductor vacuum processing apparatus
KR101553422B1 (ko) * 2007-12-19 2015-09-15 램 리써치 코포레이션 플라즈마 처리 장치를 위한 복합 샤워헤드 전극 어셈블리
US8343592B2 (en) * 2007-12-25 2013-01-01 Applied Materials, Inc. Asymmetrical RF drive for electrode of plasma chamber
KR101199644B1 (ko) * 2008-01-31 2012-11-08 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 챔버의 전극에 대한 다중 위상 rf 전력
US8721836B2 (en) 2008-04-22 2014-05-13 Micron Technology, Inc. Plasma processing with preionized and predissociated tuning gases and associated systems and methods
US8097082B2 (en) * 2008-04-28 2012-01-17 Applied Materials, Inc. Nonplanar faceplate for a plasma processing chamber
EP2283510B1 (en) * 2008-05-02 2013-01-23 Oerlikon Solar AG, Trübbach Plasma treatment apparatus and method for plasma-assisted treatment of substrates
US8679288B2 (en) 2008-06-09 2014-03-25 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
US8206506B2 (en) 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8161906B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US9493875B2 (en) * 2008-09-30 2016-11-15 Eugene Technology Co., Ltd. Shower head unit and chemical vapor deposition apparatus
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
WO2010065473A2 (en) * 2008-12-01 2010-06-10 Applied Materials, Inc. Gas distribution blocker apparatus
US8992723B2 (en) * 2009-02-13 2015-03-31 Applied Material, Inc. RF bus and RF return bus for plasma chamber electrode
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8402918B2 (en) 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
CN102460649B (zh) * 2009-05-13 2015-03-11 应用材料公司 经阳极处理的喷头
US8147614B2 (en) * 2009-06-09 2012-04-03 Applied Materials, Inc. Multi-gas flow diffuser
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8419959B2 (en) 2009-09-18 2013-04-16 Lam Research Corporation Clamped monolithic showerhead electrode
KR200476124Y1 (ko) * 2009-09-29 2015-01-30 어플라이드 머티어리얼스, 인코포레이티드 Rf­전력공급 샤워헤드를 위한 편심 접지 복귀
KR200464037Y1 (ko) 2009-10-13 2012-12-07 램 리써치 코포레이션 샤워헤드 전극 어셈블리의 에지-클램핑되고 기계적으로 패스닝된 내부 전극
TWI430714B (zh) * 2009-10-15 2014-03-11 Orbotech Lt Solar Llc 電漿處理腔之噴撒頭組件及電漿處理腔之噴撒頭組件之氣體電離板之製備方法
TWI394986B (zh) * 2009-11-09 2013-05-01 Global Material Science Co Ltd 擴散板結構及其製作方法
TWI417984B (zh) 2009-12-10 2013-12-01 Orbotech Lt Solar Llc 自動排序之多方向性直線型處理裝置
TWI369251B (en) * 2010-02-01 2012-08-01 Ind Tech Res Inst Gas distribution module and gas distribution scanning apparatus using the same
US9441295B2 (en) * 2010-05-14 2016-09-13 Solarcity Corporation Multi-channel gas-delivery system
US8980047B2 (en) 2010-07-02 2015-03-17 Samsung Electronics Co., Ltd. Microwave plasma processing apparatus
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
JP5697389B2 (ja) * 2010-09-27 2015-04-08 東京エレクトロン株式会社 プラズマエッチング用の電極板及びプラズマエッチング処理装置
US8470127B2 (en) 2011-01-06 2013-06-25 Lam Research Corporation Cam-locked showerhead electrode and assembly
US9129778B2 (en) 2011-03-18 2015-09-08 Lam Research Corporation Fluid distribution members and/or assemblies
JP5691740B2 (ja) * 2011-03-30 2015-04-01 東レ株式会社 プラズマ処理装置
US9082593B2 (en) * 2011-03-31 2015-07-14 Tokyo Electron Limited Electrode having gas discharge function and plasma processing apparatus
US8459276B2 (en) 2011-05-24 2013-06-11 Orbotech LT Solar, LLC. Broken wafer recovery system
US9245717B2 (en) 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
US8562785B2 (en) * 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
DE102011113293A1 (de) * 2011-09-05 2013-03-07 Schmid Vacuum Technology Gmbh Vakuumbeschichtungsvorrichtung
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN102437002B (zh) * 2011-11-29 2015-12-09 中国科学院微电子研究所 一种用于进气结构的匀气盘
US20130240142A1 (en) * 2012-03-15 2013-09-19 Globalfoundries Singapore Pte. Ltd. Isolation between a baffle plate and a focus adapter
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
DE102012110125A1 (de) 2012-10-24 2014-04-24 Aixtron Se Vorrichtung zum Behandeln von Substraten mit einer auswechselbaren Deckenplatte sowie Verfahren zum Auswechseln einer derartigen Deckenplatte
US9362092B2 (en) * 2012-12-07 2016-06-07 LGS Innovations LLC Gas dispersion disc assembly
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
GB2510615A (en) * 2013-02-08 2014-08-13 Glyndwr University Gas blade injection system
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US20140272135A1 (en) * 2013-03-12 2014-09-18 Taiwan Semiconductor Manufacturing Company Limited Deposition injection masking
US10403521B2 (en) * 2013-03-13 2019-09-03 Applied Materials, Inc. Modular substrate heater for efficient thermal cycling
DE102013204730A1 (de) 2013-03-18 2014-09-18 Wacker Chemie Ag Verfahren zur Abscheidung von polykristallinem Silicium
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9484190B2 (en) * 2014-01-25 2016-11-01 Yuri Glukhoy Showerhead-cooler system of a semiconductor-processing chamber for semiconductor wafers of large area
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) * 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
WO2016135377A1 (en) * 2015-02-25 2016-09-01 Beneq Oy Apparatus for subjecting a surface of a substrate to successive surface reactions
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
WO2016154052A1 (en) * 2015-03-25 2016-09-29 Applied Materials, Inc. Chamber components for epitaxial growth apparatus
US11384432B2 (en) * 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US9914999B2 (en) * 2015-04-28 2018-03-13 Applied Materials, Inc. Oxidized showerhead and process kit parts and methods of using same
TWI677929B (zh) * 2015-05-01 2019-11-21 美商應用材料股份有限公司 用於形成膜堆疊的雙通道噴頭
US9972740B2 (en) 2015-06-07 2018-05-15 Tesla, Inc. Chemical vapor deposition tool and process for fabrication of photovoltaic structures
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
WO2017062087A1 (en) * 2015-10-08 2017-04-13 Applied Materials, Inc. Showerhead with reduced backside plasma ignition
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
WO2017073679A1 (ja) * 2015-10-30 2017-05-04 京セラ株式会社 シャワープレート、半導体製造装置およびシャワープレートの製造方法
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10373810B2 (en) * 2016-02-21 2019-08-06 Applied Materials, Inc. Showerhead having an extended detachable gas distribution plate
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US9748434B1 (en) 2016-05-24 2017-08-29 Tesla, Inc. Systems, method and apparatus for curing conductive paste
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
CN108885983B (zh) * 2016-05-30 2022-11-11 株式会社Jcu 等离子处理装置及方法
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9954136B2 (en) 2016-08-03 2018-04-24 Tesla, Inc. Cassette optimized for an inline annealing system
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10115856B2 (en) 2016-10-31 2018-10-30 Tesla, Inc. System and method for curing conductive paste using induction heating
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11380557B2 (en) * 2017-06-05 2022-07-05 Applied Materials, Inc. Apparatus and method for gas delivery in semiconductor process chambers
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10811232B2 (en) * 2017-08-08 2020-10-20 Applied Materials, Inc. Multi-plate faceplate for a processing chamber
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US20190048467A1 (en) * 2017-08-10 2019-02-14 Applied Materials, Inc. Showerhead and process chamber incorporating same
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11077410B2 (en) * 2017-10-09 2021-08-03 Applied Materials, Inc. Gas injector with baffle
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US11232874B2 (en) * 2017-12-18 2022-01-25 Ge-Hitachi Nuclear Energy Americas Llc Multiple-path flow restrictor nozzle
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11286565B2 (en) * 2018-12-13 2022-03-29 Xia Tai Xin Semiconductor (Qing Dao) Ltd. Apparatus and method for semiconductor fabrication
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11332827B2 (en) * 2019-03-27 2022-05-17 Applied Materials, Inc. Gas distribution plate with high aspect ratio holes and a high hole density
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
US11798803B2 (en) * 2019-05-15 2023-10-24 Applied Materials, Inc. Dynamic multi zone flow control for a processing system
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
KR20210037318A (ko) 2019-09-27 2021-04-06 삼성전자주식회사 기판 처리 장치와 방법, 그 처리 방법을 이용한 반도체 소자 제조방법
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202115815A (zh) 2019-10-04 2021-04-16 美商應用材料股份有限公司 用於易碎板以防止破裂的氣體分配組件安裝
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN110943013B (zh) * 2019-12-12 2022-08-16 北京北方华创微电子装备有限公司 内衬及反应腔室
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
KR102145947B1 (ko) * 2020-01-21 2020-08-19 주식회사 플스팩 기포 제거용 디퓨져 유니트 및 이를 갖는 가변압 장치
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11851758B2 (en) * 2021-04-20 2023-12-26 Applied Materials, Inc. Fabrication of a high temperature showerhead
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023069227A1 (en) * 2021-10-19 2023-04-27 Applied Materials, Inc. Dummy hole and mesh patch for diffuser

Family Cites Families (66)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4262631A (en) 1979-10-01 1981-04-21 Kubacki Ronald M Thin film deposition apparatus using an RF glow discharge
JPS59128281A (ja) 1982-12-29 1984-07-24 信越化学工業株式会社 炭化けい素被覆物の製造方法
JPH07111957B2 (ja) 1984-03-28 1995-11-29 圭弘 浜川 半導体の製法
US4759947A (en) 1984-10-08 1988-07-26 Canon Kabushiki Kaisha Method for forming deposition film using Si compound and active species from carbon and halogen compound
US5755886A (en) 1986-12-19 1998-05-26 Applied Materials, Inc. Apparatus for preventing deposition gases from contacting a selected region of a substrate during deposition processing
US4892753A (en) 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4792378A (en) 1987-12-15 1988-12-20 Texas Instruments Incorporated Gas dispersion disk for use in plasma enhanced chemical vapor deposition reactor
US5089083A (en) * 1989-04-25 1992-02-18 Tokyo Electron Limited Plasma etching method
US5074456A (en) * 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
US5304248A (en) 1990-12-05 1994-04-19 Applied Materials, Inc. Passive shield for CVD wafer processing which provides frontside edge exclusion and prevents backside depositions
US5238866A (en) 1991-09-11 1993-08-24 GmbH & Co. Ingenieurburo Berlin Biotronik Mess- und Therapiegerate Plasma enhanced chemical vapor deposition process for producing an amorphous semiconductive surface coating
US5423936A (en) * 1992-10-19 1995-06-13 Hitachi, Ltd. Plasma etching system
TW347149U (en) 1993-02-26 1998-12-01 Dow Corning Integrated circuits protected from the environment by ceramic and barrier metal layers
KR100324792B1 (ko) 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
US5465680A (en) 1993-07-01 1995-11-14 Dow Corning Corporation Method of forming crystalline silicon carbide coatings
KR950020993A (ko) 1993-12-22 1995-07-26 김광호 반도체 제조장치
US5589002A (en) * 1994-03-24 1996-12-31 Applied Materials, Inc. Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing
US5746875A (en) 1994-09-16 1998-05-05 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5643394A (en) 1994-09-16 1997-07-01 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5818071A (en) 1995-02-02 1998-10-06 Dow Corning Corporation Silicon carbide metal diffusion barrier layer
JP3360098B2 (ja) * 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
US5780163A (en) 1996-06-05 1998-07-14 Dow Corning Corporation Multilayer coating for microelectronic devices
US5846332A (en) 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US5776235A (en) 1996-10-04 1998-07-07 Dow Corning Corporation Thick opaque ceramic coatings
US5711987A (en) 1996-10-04 1998-01-27 Dow Corning Corporation Electronic coatings
US5730792A (en) 1996-10-04 1998-03-24 Dow Corning Corporation Opaque ceramic coatings
US5882411A (en) 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
TW415970B (en) 1997-01-08 2000-12-21 Ebara Corp Vapor-phase film growth apparatus and gas ejection head
JP3702068B2 (ja) 1997-04-09 2005-10-05 東京エレクトロン株式会社 被処理基板の処理装置
KR100434790B1 (ko) 1997-05-20 2004-06-07 동경 엘렉트론 주식회사 처리 장치
US6024799A (en) * 1997-07-11 2000-02-15 Applied Materials, Inc. Chemical vapor deposition manifold
US6167837B1 (en) * 1998-01-15 2001-01-02 Torrex Equipment Corp. Apparatus and method for plasma enhanced chemical vapor deposition (PECVD) in a single wafer reactor
US6080446A (en) 1997-08-21 2000-06-27 Anelva Corporation Method of depositing titanium nitride thin film and CVD deposition apparatus
US6140226A (en) 1998-01-16 2000-10-31 International Business Machines Corporation Dual damascene processing for semiconductor chip interconnects
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6340435B1 (en) 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6593247B1 (en) 1998-02-11 2003-07-15 Applied Materials, Inc. Method of depositing low k films using an oxidizing plasma
US6287990B1 (en) 1998-02-11 2001-09-11 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
US6627532B1 (en) 1998-02-11 2003-09-30 Applied Materials, Inc. Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
US6660656B2 (en) 1998-02-11 2003-12-09 Applied Materials Inc. Plasma processes for depositing low dielectric constant films
US6413583B1 (en) 1998-02-11 2002-07-02 Applied Materials, Inc. Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
US6126753A (en) 1998-05-13 2000-10-03 Tokyo Electron Limited Single-substrate-processing CVD apparatus and method
US6159871A (en) 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US6147009A (en) 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
US6454860B2 (en) 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
US6364954B2 (en) 1998-12-14 2002-04-02 Applied Materials, Inc. High temperature chemical vapor deposition chamber
US6230651B1 (en) 1998-12-30 2001-05-15 Lam Research Corporation Gas injection system for plasma processing
US6263829B1 (en) 1999-01-22 2001-07-24 Applied Materials, Inc. Process chamber having improved gas distributor and method of manufacture
US6565661B1 (en) 1999-06-04 2003-05-20 Simplus Systems Corporation High flow conductance and high thermal conductance showerhead system and method
US6206972B1 (en) 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
JP3645768B2 (ja) 1999-12-07 2005-05-11 シャープ株式会社 プラズマプロセス装置
US6477980B1 (en) 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6772827B2 (en) * 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
JP2003529926A (ja) 2000-03-30 2003-10-07 東京エレクトロン株式会社 プラズマ処理システム内への調整可能なガス注入のための方法及び装置
KR100406174B1 (ko) 2000-06-15 2003-11-19 주식회사 하이닉스반도체 화학적 강화 화학 기상 증착 장비에 사용되는 샤워 헤드
US6461435B1 (en) 2000-06-22 2002-10-08 Applied Materials, Inc. Showerhead with reduced contact area
US6641673B2 (en) 2000-12-20 2003-11-04 General Electric Company Fluid injector for and method of prolonged delivery and distribution of reagents into plasma
US6886491B2 (en) 2001-03-19 2005-05-03 Apex Co. Ltd. Plasma chemical vapor deposition apparatus
US6827815B2 (en) * 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
US6793733B2 (en) 2002-01-25 2004-09-21 Applied Materials Inc. Gas distribution showerhead
US7008484B2 (en) 2002-05-06 2006-03-07 Applied Materials Inc. Method and apparatus for deposition of low dielectric constant materials
US6838012B2 (en) * 2002-10-31 2005-01-04 Lam Research Corporation Methods for etching dielectric materials
US20040173313A1 (en) 2003-03-03 2004-09-09 Bradley Beach Fire polished showerhead electrode
US6942753B2 (en) 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101333651B (zh) * 2007-06-22 2011-08-24 应用材料股份有限公司 散射器支撑
CN102251227B (zh) * 2007-06-22 2013-11-27 应用材料公司 散射器支撑
CN104995719A (zh) * 2013-01-25 2015-10-21 应用材料公司 具有可拆卸式气体分配板的喷淋头
CN104995719B (zh) * 2013-01-25 2017-12-19 应用材料公司 具有可拆卸式气体分配板的喷淋头
CN107111248A (zh) * 2014-12-31 2017-08-29 Asml控股股份有限公司 通过非均匀气流的掩模版冷却
CN105506577A (zh) * 2016-03-02 2016-04-20 安徽纯源镀膜科技有限公司 一种类金刚石薄膜离子源中离子的引出装置
CN105506577B (zh) * 2016-03-02 2018-01-23 安徽纯源镀膜科技有限公司 一种类金刚石薄膜离子源中离子的引出装置
CN108570662A (zh) * 2017-03-08 2018-09-25 株式会社东芝 喷淋板、处理装置和喷出方法
CN108570662B (zh) * 2017-03-08 2020-11-17 株式会社东芝 喷淋板、处理装置和喷出方法
CN112262228A (zh) * 2018-06-08 2021-01-22 应用材料公司 用于平板处理设备的温控气体扩散器
CN109119322A (zh) * 2018-07-27 2019-01-01 上海硕余精密机械设备有限公司 一种磁增强型等离子体源

Also Published As

Publication number Publication date
KR20040063828A (ko) 2004-07-14
TW200416297A (en) 2004-09-01
KR100663799B1 (ko) 2007-01-03
WO2004064407A3 (en) 2004-12-09
US20040129211A1 (en) 2004-07-08
JP2006513323A (ja) 2006-04-20
WO2004064407A2 (en) 2004-07-29
US7270713B2 (en) 2007-09-18

Similar Documents

Publication Publication Date Title
CN1735956A (zh) 可调式气体分配板组件
CN1754008A (zh) 用于大面积等离子增强化学气相淀积的气体分配板组件
CN1236657C (zh) 等离子体处理装置和等离子体处理方法
CN1881555A (zh) 基板载置台、基板处理装置和基板载置台的制造方法
CN1251294C (zh) 等离子体加工装置的温度控制系统
CN100385640C (zh) 阳极化衬底支撑件
CN1574229A (zh) 喷淋头组合和具有喷淋头组合用于制造半导体装置的设备
JP2006041496A (ja) マルチ選択エンドエフェクタアセンブリ
CN1885488A (zh) 上部电极、等离子体处理装置和等离子体处理方法
JP6824338B2 (ja) シャワーヘッド支持構造
CN1924429A (zh) 背光灯制造方法和背光灯
CN1897243A (zh) 静电吸附电极、基板处理装置和静电吸附电极的制造方法
JP2010080909A (ja) ヒータ、半導体製造装置および半導体製造方法
CN103769752A (zh) 用于激光钻孔处理的工作台和激光钻孔方法
CN1610768A (zh) 单晶片腔室中的无辐射率变化泵送板套件
CN1696768A (zh) 采用气体扩散板通道设计的等离子体均匀度控制
CN108411362B (zh) 腔室及外延生长设备
CN1745332A (zh) 加热装置
TW201310521A (zh) 用於邊緣輪廓控制之具有邊緣氣體偏轉板的底座
CN1777986A (zh) 对被处理基板进行半导体处理的装置
CN1898782A (zh) 静电卡盘和具有用于冷却晶片的冷却路径的卡盘座
KR20090013958A (ko) 가스분배판 고정용 결합부재 및 이를 포함하는박막처리장치
KR20160003490U (ko) 비-스크래칭의 내구성 기판 지지 핀
CN1898783A (zh) 等离子处理装置
KR102224586B1 (ko) 처리 챔버들을 위한 코팅 재료

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication