JP2005536045A5 - - Google Patents

Download PDF

Info

Publication number
JP2005536045A5
JP2005536045A5 JP2004527614A JP2004527614A JP2005536045A5 JP 2005536045 A5 JP2005536045 A5 JP 2005536045A5 JP 2004527614 A JP2004527614 A JP 2004527614A JP 2004527614 A JP2004527614 A JP 2004527614A JP 2005536045 A5 JP2005536045 A5 JP 2005536045A5
Authority
JP
Japan
Prior art keywords
axis
radiant energy
disposed along
led
leds
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2004527614A
Other languages
English (en)
Other versions
JP2005536045A (ja
JP4486885B2 (ja
Filing date
Publication date
Priority claimed from US10/217,230 external-priority patent/US6818864B2/en
Application filed filed Critical
Publication of JP2005536045A publication Critical patent/JP2005536045A/ja
Publication of JP2005536045A5 publication Critical patent/JP2005536045A5/ja
Application granted granted Critical
Publication of JP4486885B2 publication Critical patent/JP4486885B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Description

第2のLEDランプ下部アレイ148は、第1のLEDランプ上部アレイ146と位置合わせしてもよいし、またはしなくてもよい。LEDランプ上部アレイ146の配置は、妨げられず、その結果、LEDランプは、チャンバ130の表面を横切って規則的に配列される。他方、LEDランプ下部アレイ148は、軸140の領域を除いて、チャンバ130の表面を横切って設けられる。したがって、1つまたはそれ以上のスポットライトまたは方向可変型ランプ150が、チャンバ130の下に配置されるとともに、チャンバ130と一体形成されて下方へ延びる石英管152を囲んでいる。管152は、軸140を同心に受け入れる。管152および軸140は、サセプタ134の真下の領域内にパージガスを注入するために使用される環状の空間をそれらの間に作り出す。方向可変型ランプ150は、サセプタ134の下側へエネルギーを放射し、それは、軸152および支持構造体により遮られる場合がある。方向可変型ランプ150用の特定の加熱構成は、米国特許第4,836,138号明細書に記載され図示されたものと類似しており、それは、参照によって明示的に組み込まれる。
一実施形態では、LEDランプ180(a)から180(f)は、透明なプラスチックケースに閉じ込めたガリウム−アルミニウム−ヒ素(GaAlAs)赤外線発光ダイオードを含んでいる。例えば、テキサス州キャロルトンのオプテック テクノロジー インコーポレイテッド(Optek Technology, Inc.)製造のOP290、OP291、およびOP292型のダイオードを使用可能である。各LEDランプ180は、サセプタ134へ焦点を合わせたビームで電磁放射を行うように配置される(図11を参照)。各LEDランプ180は、概ね、PCB186とのインターフェースに配置された2つのコネクタ、アノードおよびカソード(図示せず)を備える。2つのコネクタは、LEDランプ180内へ延び、内部に配置されたダイオードに電気的に接続される。したがって、電力が2つのコネクタに印加されると、ダイオードは、当業者に一般に知られるように遠位端から放射エネルギー源を提供する。LEDランプ180(a)から(f)の寸法は、所望の適用およびサイズにより変動する場合がある。
加熱器制御モジュール252は、堆積サイクルの開始を示す入力信号を温度制御入力254から受け取ると、方向可変型ランプ150と、LEDランプ上部アレイ146の選択されたLEDランプ180と、LEDランプ下部アレイ148の選択されたLEDランプ180とに、全電力を印加することによって応答する。その同じ入力信号は、堆積サイクルが行われる所望の作動温度を示す情報を含んでいる。方向可変型ランプ150への、およびLEDランプ上部アレイ146、LEDランプ下部アレイ148の選択されたLEDランプへ全電力を印加することによって、サセプタ134の中央領域と、および当然だが処理中のウェハの中央領域との温度が急上昇する。軸152内にあるマスター温度センサ(図示せず)は、温度の急上昇を検知して、電子加熱器制御回路252にそのことを示す信号を送る。電子加熱器制御回路252は、検知した温度を所望の作動温度と比較し、方向可変型ランプ150と、LEDランプ上部および下部アレイの選択されたLEDランプとへ供給される電力を調節して、サセプタ134およびウェハの中央領域を所望の作動温度にしそれを維持する。

Claims (21)

  1. ハウジングと、
    面、下面を有し、前記ハウジング内に配置され、処理されるウェハを支持するためのサセプタと、
    第1の軸線と、当該第1の軸線と平行でない第2の軸線とに沿って配置された複数の発光ダイオード(LED)の二次元アレイであって、前記LEDは、前記ハウジングを透過して前記サセプタの方向へ放射エネルギーを放射するように構成された、複数の発光ダイオード(LED)の二次元アレイと、
    前記第1の軸線に沿って配置された第2のLEDに対して、前記第1の軸線に沿って配置された第1のLEDにより放射される放射エネルギーを変化させるように構成され、さらに前記第2の軸線に沿って配置された第2のLEDに対して、前記第2の軸線に沿って配置された第1のLEDにより放射される放射エネルギーを変化させるように構成された制御装置と、
    を備える化学気相成長装置。
  2. 前記ハウジングは、上部部材を含み、放射エネルギーの実質的な部分が、前記上部部材を透過する請求項1に記載の装置。
  3. 前記上部部材は、放射エネルギーに対して実質的に透過性を有する請求項2に記載の装置。
  4. 放射エネルギーは、前記上面の方向へ放射される請求項1に記載の装置。
  5. 前記ハウジングは、下部部材を含み、放射エネルギーの実質的な部分が、前記下部部材を透過する請求項1に記載の装置。
  6. 前記下部部材は、放射エネルギーに対して実質的に透過性を有する請求項5に記載の装置。
  7. 放射エネルギーは、前記下面の方向に放射される請求項1に記載の装置。
  8. 放射エネルギーは、赤外線を含む請求項1に記載の装置。
  9. 前記ハウジングの少なくとも一部分は、石英からなる請求項1に記載の装置。
  10. 前記制御装置は、前記複数のLEDの少なくとも1つのLEDの故障に応答するように構成される請求項に記載の装置。
  11. 前記制御装置は、温度を示す信号に応答するように構成される請求項に記載の装置。
  12. 前記制御装置は、事前のプログラミングに応答するように構成される請求項に記載の装置。
  13. 前記ハウジング内の温度を示す信号を生成するように構成された温度センサをさらに備える請求項に記載の装置。
  14. 前記複数の発光ダイオード(LED)のアレイとサセプタとの間にリフレクタをさらに備え、該リフレクタは、前記複数の発光ダイオード(LEDと位置合わせされた穿孔を含んでいる請求項1に記載の装置。
  15. 前記サセプタに対して非対称的な開口部を画定する支持プレートをさらに備える請求項に記載の装置。
  16. 前記開口部内に、前記サセプタを囲む温度補償リングをさらに備える請求項15に記載の装置。
  17. 前記開口部の形状は、概ね長方形である請求項15に記載の装置。
  18. 少なくとも1つの壁により画定されるチャンバと、
    前記チャンバ内において基板を支持するための構造体と、
    第1の軸線と、当該第1の軸線と平行でない第2の軸線とに沿って配置された複数の発光ダイオード(LED)の二次元アレイであって、前記アレイは、前記チャンバの近傍に配置され、前記少なくとも1つの壁を透過して前記構造体の方へ放射エネルギーを放射するように構成されている、複数の発光ダイオード(LED)の二次元アレイと、
    前記第1の軸線に沿って配置された複数のLDにより放射される放射エネルギーを個別に変化させるように構成され、さらに前記第2の軸線に沿って配置された複数のLEDにより放射される放射エネルギーを個別に変化させるように構成された制御装置と、
    を備える半導体処理装置。
  19. 発光ダイオード(LEDランプにより加熱することによりチャンバ内において半導体を処理する方法であって、前記LEDランプは、前記チャンバ内において基板へ指向性放射エネルギーを放射するように構成され、該方法は、
    ハウジング内に形成されたチャンバ内にウェハを挿入するステップと、
    第1の軸線と、当該第1の軸線と平行でない第2の軸線に沿って配置された前記発光ダイオード(LED)の二次元アレイから、前記ハウジングの少なくとも一部分を透過してウェハに放射熱を加えるステップと、
    前記第1の軸線に沿って配置された第2のLEDに対して、前記第1の軸線に沿って配置された第1のLEDにより放射される放射エネルギーを調節するステップと、
    前記第2の軸線に沿って配置された第2のLEDに対して、前記第2の軸線に沿って配置された第1のLEDにより放射される放射エネルギーを調節するステップと、
    を含む半導体処理方法。
  20. ウェハの温度の不均一を特定するステップと、
    不均一を補償するために前記複数のLEDランプの少なくとも1つのLEDランプのエネルギーレベル出力を調節するステップと、
    さらに含む請求項19に記載の方法。
  21. 前記ハウジングの部分の温度は、前記ウェハの温度より低い請求項19に記載の方法。
JP2004527614A 2002-08-09 2003-07-18 Cvd加熱用のled加熱ランプアレイ Expired - Lifetime JP4486885B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/217,230 US6818864B2 (en) 2002-08-09 2002-08-09 LED heat lamp arrays for CVD heating
PCT/US2003/022402 WO2004015348A1 (en) 2002-08-09 2003-07-18 Led heat lamp arrays for cvd heating

Publications (3)

Publication Number Publication Date
JP2005536045A JP2005536045A (ja) 2005-11-24
JP2005536045A5 true JP2005536045A5 (ja) 2006-08-31
JP4486885B2 JP4486885B2 (ja) 2010-06-23

Family

ID=31495175

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004527614A Expired - Lifetime JP4486885B2 (ja) 2002-08-09 2003-07-18 Cvd加熱用のled加熱ランプアレイ

Country Status (3)

Country Link
US (3) US6818864B2 (ja)
JP (1) JP4486885B2 (ja)
WO (1) WO2004015348A1 (ja)

Families Citing this family (342)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060083495A1 (en) * 2002-07-15 2006-04-20 Qiu Taiquing Variable heater element for low to high temperature ranges
US20050250346A1 (en) * 2004-05-06 2005-11-10 Applied Materials, Inc. Process and apparatus for post deposition treatment of low k dielectric materials
US7521653B2 (en) * 2004-08-03 2009-04-21 Exatec Llc Plasma arc coating system
JP2006059931A (ja) * 2004-08-18 2006-03-02 Canon Anelva Corp 急速加熱処理装置
DE102004048486A1 (de) * 2004-10-05 2006-04-13 CCS Technology, Inc., Wilmington Vorrichtung und Verfahren zum Aufbringen eines Schutzelementes auf einen Lichtwellenleiter
US20060096951A1 (en) * 2004-10-29 2006-05-11 International Business Machines Corporation Apparatus and method for controlling process non-uniformity
US7425296B2 (en) 2004-12-03 2008-09-16 Pressco Technology Inc. Method and system for wavelength specific thermal irradiation and treatment
US10687391B2 (en) * 2004-12-03 2020-06-16 Pressco Ip Llc Method and system for digital narrowband, wavelength specific cooking, curing, food preparation, and processing
US10857722B2 (en) 2004-12-03 2020-12-08 Pressco Ip Llc Method and system for laser-based, wavelength specific infrared irradiation treatment
US20060251827A1 (en) * 2005-05-09 2006-11-09 Applied Materials, Inc. Tandem uv chamber for curing dielectric materials
US7262390B2 (en) * 2005-05-23 2007-08-28 Chung Shan Institute Of Science And Technology, Armaments Bureau, M.N.D. Apparatus and adjusting technology for uniform thermal processing
CN100557773C (zh) * 2005-09-21 2009-11-04 东京毅力科创株式会社 热处理装置
JP5055756B2 (ja) * 2005-09-21 2012-10-24 東京エレクトロン株式会社 熱処理装置及び記憶媒体
US7133604B1 (en) * 2005-10-20 2006-11-07 Bergstein David M Infrared air heater with multiple light sources and reflective enclosure
JP4940635B2 (ja) * 2005-11-14 2012-05-30 東京エレクトロン株式会社 加熱装置、熱処理装置及び記憶媒体
US20070128861A1 (en) * 2005-12-05 2007-06-07 Kim Myoung S CVD apparatus for depositing polysilicon
JP2008016545A (ja) * 2006-07-04 2008-01-24 Tokyo Electron Ltd アニール装置およびアニール方法
WO2008016116A1 (fr) * 2006-08-04 2008-02-07 Tokyo Electron Limited Dispositif et procédé de recuit
JP5138253B2 (ja) * 2006-09-05 2013-02-06 東京エレクトロン株式会社 アニール装置
US8956457B2 (en) * 2006-09-08 2015-02-17 Tokyo Electron Limited Thermal processing system for curing dielectric films
US7923933B2 (en) 2007-01-04 2011-04-12 Applied Materials, Inc. Lamp failure detector
EP2109517B8 (en) * 2007-02-01 2012-03-21 Conex Universal Limited Insertion and release tool for pipe fitting arrangement and method using such tool
CN101688306B (zh) 2007-05-17 2011-08-17 埃克阿泰克有限责任公司 用于在共用等离子涂覆区沉积多种涂覆材料的装置与方法
US8064151B2 (en) * 2007-08-14 2011-11-22 Asml Netherlands B.V. Lithographic apparatus and thermal optical manipulator control method
US20090075491A1 (en) * 2007-09-13 2009-03-19 Tokyo Electron Limited Method for curing a dielectric film
JP5084420B2 (ja) * 2007-09-21 2012-11-28 東京エレクトロン株式会社 ロードロック装置および真空処理システム
JP2009099925A (ja) * 2007-09-27 2009-05-07 Tokyo Electron Ltd アニール装置
US7828456B2 (en) 2007-10-17 2010-11-09 Lsi Industries, Inc. Roadway luminaire and methods of use
US20090101633A1 (en) * 2007-10-19 2009-04-23 Asm America, Inc. Reactor with small linear lamps for localized heat control and improved temperature uniformity
US9789559B2 (en) * 2007-10-22 2017-10-17 Illinois Tool Works, Inc. System and method for controlling multiple processes in a welding-type power source using a refined controller architecture
JP5351479B2 (ja) * 2008-01-28 2013-11-27 東京エレクトロン株式会社 加熱源の冷却構造
US20090226695A1 (en) * 2008-03-06 2009-09-10 Tokyo Electron Limited Method for treating a dielectric film with infrared radiation
US7977256B2 (en) * 2008-03-06 2011-07-12 Tokyo Electron Limited Method for removing a pore-generating material from an uncured low-k dielectric film
US20090226694A1 (en) * 2008-03-06 2009-09-10 Tokyo Electron Limited POROUS SiCOH-CONTAINING DIELECTRIC FILM AND A METHOD OF PREPARING
TWI338117B (en) * 2008-03-25 2011-03-01 Univ Nat Yang Ming Oven based on diode with function of partial heating
JP2009253242A (ja) * 2008-04-11 2009-10-29 Tokyo Electron Ltd アニール装置
US20110174790A1 (en) * 2008-06-25 2011-07-21 Tokyo Electron Limited Annealing apparatus
WO2009157484A1 (ja) * 2008-06-25 2009-12-30 東京エレクトロン株式会社 アニール装置
EP2294608B1 (en) * 2008-06-30 2017-04-19 Soitec Modular and readily configurable reactor enclosures
US8895942B2 (en) * 2008-09-16 2014-11-25 Tokyo Electron Limited Dielectric treatment module using scanning IR radiation source
US20100068897A1 (en) * 2008-09-16 2010-03-18 Tokyo Electron Limited Dielectric treatment platform for dielectric film deposition and curing
US20100065758A1 (en) * 2008-09-16 2010-03-18 Tokyo Electron Limited Dielectric material treatment system and method of operating
US20100067886A1 (en) * 2008-09-16 2010-03-18 Tokyo Electron Limited Ir laser optics system for dielectric treatment module
US8209833B2 (en) * 2008-11-07 2012-07-03 Tokyo Electron Limited Thermal processing system and method of using
JP5560556B2 (ja) * 2008-11-17 2014-07-30 東京エレクトロン株式会社 処理装置
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8142052B2 (en) * 2009-04-07 2012-03-27 Rolence Enterprise Inc. Medical light solidifying device
US8404499B2 (en) * 2009-04-20 2013-03-26 Applied Materials, Inc. LED substrate processing
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR101031226B1 (ko) * 2009-08-21 2011-04-29 에이피시스템 주식회사 급속열처리 장치의 히터블록
US20110185969A1 (en) * 2009-08-21 2011-08-04 Varian Semiconductor Equipment Associates, Inc. Dual heating for precise wafer temperature control
US20110052159A1 (en) * 2009-09-03 2011-03-03 Chiung-Chieh Su Apparatus for uniform thermal processing
US8042968B2 (en) * 2009-11-10 2011-10-25 Lsi Industries, Inc. Modular light reflectors and assemblies for luminaire
US8794787B2 (en) 2009-11-10 2014-08-05 Lsi Industries, Inc. Modular light reflectors and assemblies for luminaire
JP5526876B2 (ja) * 2010-03-09 2014-06-18 東京エレクトロン株式会社 加熱装置及びアニール装置
US20110232677A1 (en) * 2010-03-29 2011-09-29 Tokyo Electron Limited Method for cleaning low-k dielectrics
TWI403663B (zh) * 2010-07-20 2013-08-01 Foxsemicon Integrated Tech Inc Led發光裝置
US8693856B2 (en) * 2010-09-03 2014-04-08 Kla-Tencor Corporation Apparatus and methods for vacuum-compatible substrate thermal management
US8404048B2 (en) * 2011-03-11 2013-03-26 Applied Materials, Inc. Off-angled heating of the underside of a substrate using a lamp assembly
US9905443B2 (en) 2011-03-11 2018-02-27 Applied Materials, Inc. Reflective deposition rings and substrate processing chambers incorporating same
US9885123B2 (en) 2011-03-16 2018-02-06 Asm America, Inc. Rapid bake of semiconductor substrate with upper linear heating elements perpendicular to horizontal gas flow
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8696154B2 (en) 2011-08-19 2014-04-15 Lsi Industries, Inc. Luminaires and lighting structures
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9960059B2 (en) * 2012-03-30 2018-05-01 Taiwan Semiconductor Manufacturing Company, Ltd. Honeycomb heaters for integrated circuit manufacturing
US10202707B2 (en) * 2012-04-26 2019-02-12 Applied Materials, Inc. Substrate processing system with lamphead having temperature management
US9482518B2 (en) 2012-06-07 2016-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods for semiconductor device process determination using reflectivity measurement
JP6077807B2 (ja) * 2012-09-18 2017-02-08 株式会社日立国際電気 加熱装置、基板処理装置及び半導体装置の製造方法
US9748120B2 (en) 2013-07-01 2017-08-29 Lam Research Ag Apparatus for liquid treatment of disc-shaped articles and heating system for use in such apparatus
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9543172B2 (en) 2012-10-17 2017-01-10 Applied Materials, Inc. Apparatus for providing and directing heat energy in a process chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US20140238958A1 (en) * 2013-02-28 2014-08-28 Ultratech, Inc. Systems and methods for material processing using light-emitting diodes
US9786529B2 (en) * 2013-03-11 2017-10-10 Applied Materials, Inc. Pyrometry filter for thermal process chamber
US9754807B2 (en) 2013-03-12 2017-09-05 Applied Materials, Inc. High density solid state light source array
US9442007B2 (en) * 2013-05-06 2016-09-13 Phoseon Technology, Inc. Method and system for monitoring ultraviolet light for a fiber cure system
US9265119B2 (en) 2013-06-17 2016-02-16 Terralux, Inc. Systems and methods for providing thermal fold-back to LED lights
US9318360B2 (en) * 2013-10-11 2016-04-19 Applied Materials, Inc. Linear high packing density for LED arrays
KR102188352B1 (ko) * 2013-10-24 2020-12-08 세메스 주식회사 기판 처리 장치
DE102013222636A1 (de) * 2013-11-07 2015-05-07 Homag Holzbearbeitungssysteme Gmbh Verfahren zum Aufbringen einer Beschichtung auf Werkstücke und Vorrichtung zum Beschichten von Werkstücken
KR102228941B1 (ko) 2013-11-22 2021-03-17 어플라이드 머티어리얼스, 인코포레이티드 접근이 용이한 램프헤드
CN106415810B (zh) * 2014-01-17 2020-03-20 皇家飞利浦有限公司 包括半导体光源的加热系统
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9541255B2 (en) 2014-05-28 2017-01-10 Lsi Industries, Inc. Luminaires and reflector modules
KR101809141B1 (ko) * 2014-05-29 2018-01-19 에이피시스템 주식회사 히터 블록 및 기판 열처리 장치
US9543171B2 (en) * 2014-06-17 2017-01-10 Lam Research Corporation Auto-correction of malfunctioning thermal control element in a temperature control plate of a semiconductor substrate support assembly that includes deactivating the malfunctioning thermal control element and modifying a power level of at least one functioning thermal control element
US10736182B2 (en) * 2014-07-02 2020-08-04 Applied Materials, Inc. Apparatus, systems, and methods for temperature control of substrates using embedded fiber optics and epoxy optical diffusers
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10490426B2 (en) 2014-08-26 2019-11-26 Lam Research Ag Method and apparatus for processing wafer-shaped articles
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9899242B2 (en) 2015-04-06 2018-02-20 Varian Semiconductor Equipment Associates, Inc. Device and method for substrate heating during transport
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US20160379854A1 (en) * 2015-06-29 2016-12-29 Varian Semiconductor Equipment Associates, Inc. Vacuum Compatible LED Substrate Heater
US9728430B2 (en) * 2015-06-29 2017-08-08 Varian Semiconductor Equipment Associates, Inc. Electrostatic chuck with LED heating
JP6560550B2 (ja) * 2015-07-06 2019-08-14 株式会社Screenホールディングス 熱処理方法および熱処理装置
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10973088B2 (en) 2016-04-18 2021-04-06 Applied Materials, Inc. Optically heated substrate support assembly with removable optical fibers
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US20180363139A1 (en) * 2017-06-20 2018-12-20 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
JP2020009927A (ja) 2018-07-09 2020-01-16 フェニックス電機株式会社 加熱用ledランプ、およびそれを備えるウエハ加熱ユニット
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10600662B2 (en) 2018-07-20 2020-03-24 Varian Semiconductor Equipment Associates, Inc. Silicon carbide substrate heating
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP7198434B2 (ja) * 2019-03-27 2023-01-04 ウシオ電機株式会社 加熱処理方法及び光加熱装置
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11109452B2 (en) 2019-11-14 2021-08-31 Applied Materials, Inc. Modular LED heater
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
EP4216666A3 (en) 2022-01-19 2023-08-23 Phoenix Electric Co., Ltd. Lamp for heating and heating apparatus including the same

Family Cites Families (71)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US33326A (en) * 1861-09-24 Improvement in removable carriage-fronts
US3636398A (en) 1969-12-31 1972-01-18 Westinghouse Electric Corp Subminiature electric lamp having a composite envelope
US3796009A (en) 1972-05-16 1974-03-12 Truth Inc Window structure
US3836751A (en) 1973-07-26 1974-09-17 Applied Materials Inc Temperature controlled profiling heater
US3969943A (en) 1974-03-06 1976-07-20 Nippon Steel Corporation Method of measuring the temperature of furnace hot stock and apparatus therefor
GB1583545A (en) 1976-08-04 1981-01-28 Martin Sanchez J Control systems
US4255133A (en) 1978-04-10 1981-03-10 Hitachi, Ltd. Method for controlling furnace temperature of multi-zone heating furnace
US4349869A (en) 1979-10-01 1982-09-14 Shell Oil Company Dynamic matrix control method
MX152502A (es) 1980-04-07 1985-08-14 Martin Sanchez Juan Mejoras en sistema de control adaptivo predictivo para procedimientos de variacion temporal
US4435092A (en) 1980-07-25 1984-03-06 Nippon Steel Corporation Surface temperature measuring apparatus for object within furnace
JPS58128728A (ja) * 1982-01-28 1983-08-01 Toshiba Mach Co Ltd 半導体気相成長装置
US4714988A (en) 1982-03-26 1987-12-22 Kabushiki Kaisha Toshiba Feedforward feedback control having predictive disturbance compensation
JPH0668691B2 (ja) 1983-06-03 1994-08-31 オムロン株式会社 離散時間型オン/オフ・スイツチング適応制御装置
US4616308A (en) 1983-11-15 1986-10-07 Shell Oil Company Dynamic process control
JPS61145606A (ja) 1984-12-19 1986-07-03 Ohkura Electric Co Ltd 干渉対応形パタ−ン切換式温度制御装置
US4720807A (en) 1985-05-20 1988-01-19 Vacuum General, Inc. Adaptive pressure control system
US4694390A (en) 1985-06-28 1987-09-15 Electric Power Research Institute, Inc. Microprocessor-based control and diagnostic system for motor operated valves
US4680451A (en) 1985-07-29 1987-07-14 A. G. Associates Apparatus using high intensity CW lamps for improved heat treating of semiconductor wafers
US4634946A (en) 1985-10-02 1987-01-06 Westinghouse Electric Corp. Apparatus and method for predictive control of a dynamic system
KR910002596B1 (ko) 1985-11-21 1991-04-27 다이닛뽕 스크린 세이조오 가부시기가이샤 온도제어방법 및 그 장치
US4736316A (en) 1986-08-06 1988-04-05 Chevron Research Company Minimum time, optimizing and stabilizing multivariable control method and system using a constraint associated control code
US4769766A (en) 1986-09-11 1988-09-06 Tung Hsien Hsin Robust model reference controller
US4890245A (en) 1986-09-22 1989-12-26 Nikon Corporation Method for measuring temperature of semiconductor substrate and apparatus therefor
US4975561A (en) 1987-06-18 1990-12-04 Epsilon Technology Inc. Heating system for substrates
US4836138A (en) 1987-06-18 1989-06-06 Epsilon Technology, Inc. Heating system for reaction chamber of chemical vapor deposition equipment
US4828224A (en) 1987-10-15 1989-05-09 Epsilon Technology, Inc. Chemical vapor deposition system
US5221556A (en) 1987-06-24 1993-06-22 Epsilon Technology, Inc. Gas injectors for reaction chambers in CVD systems
JPH0646456B2 (ja) 1987-07-09 1994-06-15 富士写真フイルム株式会社 磁気記録媒体製造装置
JPH0196701A (ja) 1987-10-09 1989-04-14 Nobuo Yamamoto 内部モデル協調型フイードフオワード手法を用いた制御系
US4854727A (en) 1987-10-26 1989-08-08 Ag Processing Technologies, Inc. Emissivity calibration apparatus and method
JPH0676922B2 (ja) 1987-10-28 1994-09-28 株式会社チノー 放射温度測定装置
US4913790A (en) 1988-03-25 1990-04-03 Tokyo Electron Limited Treating method
US4919542A (en) 1988-04-27 1990-04-24 Ag Processing Technologies, Inc. Emissivity correction apparatus and method
US4959767A (en) 1988-11-23 1990-09-25 Elsag International B.V. Parameter estimation technique for closed loop system
US4984902A (en) 1989-04-13 1991-01-15 Peak Systems, Inc. Apparatus and method for compensating for errors in temperature measurement of semiconductor wafers during rapid thermal processing
US4969748A (en) 1989-04-13 1990-11-13 Peak Systems, Inc. Apparatus and method for compensating for errors in temperature measurement of semiconductor wafers during rapid thermal processing
US5032977A (en) 1989-06-13 1991-07-16 Elsag International B.V. System for modeling and control for delignification of pulping
US5010659A (en) 1989-09-08 1991-04-30 W. R. Grace & Co.-Conn. Infrared drying system
CA2024820A1 (en) 1989-09-08 1991-03-09 Robert E. Treleven Infrared drying system
JPH0786783B2 (ja) 1989-11-04 1995-09-20 勝久 古田 調整入力による炉温制御装置
US5225245A (en) 1989-12-01 1993-07-06 Kawasaki Steel Corporation Chemical vapor deposition method for forming thin film
US5098198A (en) 1990-04-19 1992-03-24 Applied Materials, Inc. Wafer heating and monitor module and method of operation
ATE143509T1 (de) 1990-06-21 1996-10-15 Honeywell Inc Auf variablem horizont basierende adaptive steuerung mit mitteln zur minimierung der betriebskosten
US5156461A (en) 1991-05-17 1992-10-20 Texas Instruments Incorporated Multi-point pyrometry with real-time surface emissivity compensation
US5291514A (en) 1991-07-15 1994-03-01 International Business Machines Corporation Heater autotone control apparatus and method
CA2054423C (en) 1991-08-09 1999-03-16 Robert J. Schurko Adaptive control for reheat furnace
US5377126A (en) 1991-09-13 1994-12-27 Massachusetts Institute Of Technology Non-contact temperature measurement of a film growing on a substrate
US5488561A (en) 1992-08-19 1996-01-30 Continental Controls, Inc. Multivariable process control method and apparatus
CA2108748A1 (en) 1992-10-23 1994-04-24 Robert M. Griffin Self-supporting/self-centering filament
DE4315386C2 (de) 1993-05-08 1997-11-20 Industrieanlagen Betriebsges Hochtemperatur-Thermoelement-Kalibrierung
DE4332244C2 (de) 1993-09-23 1999-01-28 Heraeus Noblelight Gmbh Strahlungsanordnung mit einer thermischen Strahlenquelle und deren Verwendung
US5650082A (en) 1993-10-29 1997-07-22 Applied Materials, Inc. Profiled substrate heating
DE4401051A1 (de) * 1994-01-15 1995-07-20 Teves Gmbh Alfred Gußkörper
US5549756A (en) 1994-02-02 1996-08-27 Applied Materials, Inc. Optical pyrometer for a thin film deposition system
US5755511A (en) 1994-12-19 1998-05-26 Applied Materials, Inc. Method and apparatus for measuring substrate temperatures
US5660472A (en) 1994-12-19 1997-08-26 Applied Materials, Inc. Method and apparatus for measuring substrate temperatures
US5623149A (en) 1995-02-14 1997-04-22 The Aerospace Corporation High fidelity dual source solar simulator
JP3333353B2 (ja) 1995-05-31 2002-10-15 安立計器株式会社 温度測定装置
US5820261A (en) 1995-07-26 1998-10-13 Applied Materials, Inc. Method and apparatus for infrared pyrometer calibration in a rapid thermal processing system
US6093252A (en) 1995-08-03 2000-07-25 Asm America, Inc. Process chamber with inner support
US5740314A (en) 1995-08-25 1998-04-14 Edison Welding Institute IR heating lamp array with reflectors modified by removal of segments thereof
US6207936B1 (en) 1996-01-31 2001-03-27 Asm America, Inc. Model-based predictive control of thermal processing
US6108490A (en) * 1996-07-11 2000-08-22 Cvc, Inc. Multizone illuminator for rapid thermal processing with improved spatial resolution
US5802099A (en) 1996-08-26 1998-09-01 Moore Epitaxial, Inc. Method for measuring substrate temperature in radiant heated reactors
US5793022A (en) 1996-09-12 1998-08-11 Applied Materials, Inc. Adaptive temperture controller and method of operation
US6121061A (en) * 1997-11-03 2000-09-19 Asm America, Inc. Method of processing wafers with low mass support
KR200195568Y1 (ko) 1998-06-26 2000-09-01 김대성 할로겐 헤어드라이기
US6143079A (en) 1998-11-19 2000-11-07 Asm America, Inc. Compact process chamber for improved process uniformity
US6191399B1 (en) 2000-02-01 2001-02-20 Asm America, Inc. System of controlling the temperature of a processing chamber
US6598559B1 (en) * 2000-03-24 2003-07-29 Applied Materials, Inc. Temperature controlled chamber
JP3659863B2 (ja) * 2000-04-06 2005-06-15 大日本スクリーン製造株式会社 熱処理装置

Similar Documents

Publication Publication Date Title
JP2005536045A5 (ja)
JP4486885B2 (ja) Cvd加熱用のled加熱ランプアレイ
CN102405513B (zh) Led基板处理
JP6461168B2 (ja) 半導体光源を有する加熱システム
US7708452B2 (en) Lighting apparatus including flexible power supply
EP2134155B1 (en) Tray for growing organic material and a nursery assembly
US10356848B2 (en) Lamp heating for process chamber
US20070285926A1 (en) Method and apparatus for cooling a lightbulb
JP2006059931A (ja) 急速加熱処理装置
TW201202601A (en) LED lamp for homogeneous illumination of hollow bodies
US10302278B2 (en) LED bulb with back-reflecting optic
TW201133077A (en) Surface light emitting device
KR102176181B1 (ko) 효과적인 열 순환을 위한 모듈형 기판 히터
TWI676225B (zh) Led陣列之線性高裝填密度
CN107466423B (zh) 混合热静电卡盘
CN1828829A (zh) 加热器及具备加热器的加热装置
US9232569B2 (en) Solid state light source assisted processing
WO2014133743A1 (en) Integrated solution for solid state light sources in a process chamber
JP2007266313A (ja) 発光装置
JP2016068052A (ja) 光源装置
US11398394B2 (en) Heating treatment method and optical heating device
JPWO2013018195A1 (ja) 照明装置
JP2006245164A (ja) ランプ加熱装置
JP2005159208A (ja) 発光装置
JPH11167978A (ja) 加熱装置