JP2002536201A - 平坦なディスク形状サセプタを有するエピタキシャル誘導反応器における自己水平化真空システムにより基板を取り扱うための装置及びその操作方法 - Google Patents

平坦なディスク形状サセプタを有するエピタキシャル誘導反応器における自己水平化真空システムにより基板を取り扱うための装置及びその操作方法

Info

Publication number
JP2002536201A
JP2002536201A JP2000599065A JP2000599065A JP2002536201A JP 2002536201 A JP2002536201 A JP 2002536201A JP 2000599065 A JP2000599065 A JP 2000599065A JP 2000599065 A JP2000599065 A JP 2000599065A JP 2002536201 A JP2002536201 A JP 2002536201A
Authority
JP
Japan
Prior art keywords
slice
hand
susceptor
reaction chamber
vacuum
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2000599065A
Other languages
English (en)
Inventor
オグリアリ,ビンツエンツオ
ポゼツテイ,ビツトリオ
プレテイ,フランコ
Original Assignee
エルピーイー・ソチエタ・ペル・アチオニ
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by エルピーイー・ソチエタ・ペル・アチオニ filed Critical エルピーイー・ソチエタ・ペル・アチオニ
Publication of JP2002536201A publication Critical patent/JP2002536201A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6838Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping with gripping and holding devices using a vacuum; Bernoulli devices
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/141Associated with semiconductor wafer handling includes means for gripping wafer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/907Continuous processing

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Liquid Deposition Of Substances Of Which Semiconductor Devices Are Composed (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • Apparatus Associated With Microorganisms And Enzymes (AREA)

Abstract

(57)【要約】 基板への化学蒸着(CVD)のめのエピタキシャル装置又は反応器において使用される基板を取り扱うための装置は、処理されるべき半導体スライス(24)を含むカセット(38、40)からそれらを移送するために、半導体スライス(24)の形態にある基板をつかみそして輸送するための手段(60)を備えた内部ロボット(30)を具備し、該つかみ及び輸送手段(60)は、正確には、パージング室(34)に存在しそして該スライス(24)の貯蔵のためにカセット(38)から供給されるスライス(24)を、パージング室(34)からエピタキシャル反応器(20)の反応室(22)に、そして更に特定的にはエピタキシャル反応器(20)の反応室(22)に存在する平坦なディスク形状サセプタ(26)上に形成された座(28a-e)に及び逆に反応室(22)から再びパージング室(34)を通ってカセット(38、40)に輸送する仕事を有する。

Description

【発明の詳細な説明】
【0001】 本発明は基板(substrates)、特に半導体スライスを、該基板上へ
の半導体材料の化学蒸着(CVD)に使用される装置において取り扱うための装
置及び該装置の操作方法に関する。特に本発明は、エピタキシャル反応器におい
て使用される基板を取り扱うための装置に関するものであり、そして特に、該基
板、好ましくは集積回路用のチップの如き半導体部品の製造に使用されるシリコ
ン基板上への材料の化学蒸着(CVD)を行うためのエピタキシャル反応器に関
する。
【0002】 更に特定的には、本発明は、「平坦なディスク形状サセプタを備えそして基板
に平行なガス流を有するエピタキシャル反応器」というタイトルを有する、19
95年9月14日に出願された国際特許出願WO96/10659により包含さ
れるエピタキシャル反応器の如きエピタキシャル反応器において使用される装置
に関する。この装置の使用により、上記したエピタキシャル反応器はカセットツ
ーカセット(cassette−to−cassette)型の反応器となる。
何故ならば、まだ未処理の基板を含むカセットが反応器の内側に配置されており
、そして製品供給サイクル中、本発明の一部を形成しない第1の機械化されたア
ーム又はロボットが基板を貯蔵ラック又は「カセット」からパージング室(pu
rging chamber)に輸送するために使用され、そして本発明の主題
を形成するつかみ及び輸送手段を外部に担持する第2の機械化されたアーム又は
ロボットが基板をパージング室からサセプタに輸送するために使用され、これに
対して、取り出しサイクル(unloading cycle)期間中、第2ロ
ボットは基板をサセプタからパージング室に輸送し、次いで第1ロボットが処理
を受けた該基板をパージング室からカセットの1つに輸送し、このすべては反応
器の操作を監督するオペレーターの手動の介在なしに行われるからである。
【0003】 本発明は、特に、冷壁CVDシステム(cold−wall CVD sys
tems)、好ましくはクロロシラン蒸気熱分解を伴うデポジッションによる半
導体テバイスの製造に使用される基板又はシリコンスライス上のエピタキシャル
成長を与えることができる反応器に適用することができる。
【0004】 商業的に最も普及しているエピタキシャル反応器は2つの主要な範疇に分ける
ことができる。即ち、 a)一度に1つのスライスを処理することができる単一スライス反応器(si
ngle−slice reactors)、及び b)複数の基板又はスライスを同時に処理することができるバッチ型反応器
である。
【0005】 上記反応器のために使用される加熱システムは2つのタイプとして分類するこ
とができる。即ち、ランプ型加熱システム及び媒体又は高周波誘導加熱システム
である。
【0006】 工業的レベルで最も普及しているバッチ型反応器は本質的に2つの型である。
即ち、いわゆる「バレルシステム(barrel systems)」を使用す
る、即ち、プリズム状又は切頭ピラミッドサセプタ(prismatic or
truncated−pyramid susceptor)を有する反応器
及び「パンケーキ」システムを使用する、即ち実質的に平坦なディスク形状サセ
プタ(flat disk−shaped susceptor)を有する反応
器である。
【0007】 典型的には、現在、バッチ型反応器は手動供給型であり、これに対して単一ス
ライス反応器は自動供給型である。
【0008】 自動供給反応器においては、基板又はスライスは利点と欠点の両方を与える種
々の方法で取り扱うことができる。基板の取り扱いは、半導体の分野、特に温度
に関連した問題及び粒子の汚染から生じる問題があるエピタキシャル反応器にお
いて特に決定的に重要である(critical)。
【0009】 一般に、各基板又はスライスは底部側(背面)、頂部側(前面)及び側壁(縁
)を有する。前面及び背面の寸法は普通は75〜300mmであり、そして40
0mmという大きなものであることすらあるが、縁の寸法は1mmより小さいか
又は1mmに近い。前面はスライスの最も重要な部分である。何故ならばそれは
化学反応プロセス、即ち、デポジッションが起こる部分であるからである。
【0010】 上記した理由で、前面と取り扱いのために使用されるあらゆる型の用具とのす
べての接触を回避することが重要である。何故ならば、とるにたらない性質のい
かなる接触も結晶格子の欠陥を引き起こすからである。供給期間中に欠陥が形成
されるならば、それらは続く熱プロセスにより拡大される。しかし取り出し期間
中に導入される欠陥も回避されなければならない。
【0011】 基本的には、スライスの前面との偶然の性質の接触ですらいかなる時点でも決
して許容されないと言える。他方、或る限界内で、該スライスの背面及び縁との
接触は許容される。
【0012】 従って、スライスを移動させるために、前面を介して(しかしなんらの直接の
接触なしに)、背面又は縁を介して作用させることが可能である。
【0013】 基本的には、用具とスライスとの接触なしに前面からの取り扱いを許容する唯
一のシステムがあり、それはベルヌーイ効果に基づいたシステムであり、それに
よれば適当なつかみ用具[端部作動体(end effector)]を与える
ことにより、スライスの前面に向けてろ過された不活性ガスを吹きつけることに
よって、水平位置においてスライスの重量に打ち勝ってスライスを浮遊させて(
suspended)保つのに十分なスライスに対する誘因効果を創り出すこと
が可能である。
【0014】 しかしながら、スライスの縁と用具のいくらかの固定された点との偶然の接触
は必ず起こる。何故ならば、支持体、従って摩擦の不存在下では、つかみ用具の
下にスライスを固定するためのいくらかの固定された点を有することが必要であ
るからである。しかしこのことは特にネガティブではない。
【0015】 取り出し期間中、その内側にスライスが着座しているキャビテイからスライス
を上昇させるために、それ自身の重量に加えて、キャビテイとスライスとの間に
形成される僅かな真空にも打ち勝つことが必要である。これはベルヌーイ効果だ
けによっては可能ではないので、例えばスライスの下のキャビテイに形成される
小さなチャンネルのネットワークによってこの真空の形成を回避することが必要
である。この技術は優れているが、誘導加熱反応器よりもランプ加熱反応器によ
り良く適している。何故ならば、サセプタのグラファイト塊における非伝導性チ
ャンネルの存在はスライスの加熱の均一性に不利に影響するからである。更に、
この技術はバッチ型の反応器と特に適合性ではない。何故ならば、不活性ガスの
流れは取り扱い期間中スライスの前面を清浄に保つのを助けるけれども、特に取
り扱いがサセプタの付近で行われる場合には、ガスの流れが運動して存在するい
かなるダスト粒子も移動させるので、隣接したスライスにとってそれは多分有害
であるからである。
【0016】 他のシステムは背面からスライスを取り扱うことにあるが、スライスがカセッ
トの内側にあるときスライスの背面はアクセス可能であるが、スライスがサセプ
タ上に位置しているときはもはやそうではないという問題がある。この欠点を克
服するために、サセプタに貫通穴を形成しそして必要ならば該穴を通る小さな支
持体によってスライスを上昇させることが可能であり、該支持体は上向きに運動
して上昇を行いそして下向きに運動してサセプタにおけるスライスの着座を可能
とすることができる。実際、供給サイクル期間中、支持体を上昇させそしてスラ
イスをそれらの上に載せる。次いで支持体を降下させそしてスライスをサセプタ
上の対応する座に置かせる。取り出しサイクル期間中、支持体をスライスと共に
上昇させ、次いで適当な材料から作られた舌状体又はつかみ用具(端部作動体)
をスライスの下に導入しそしてスライスを取り出す。より大きな安定性が必要な
らば、スライスとつかみ用具との間に僅かな真空を加えることによりスライスに
ブレーキをかけることが可能である。しかしながら、この技術は、有効ではある
けれども、実際にはランプ加熱型の反応器に正当に適用できるだけであり、誘導
加熱反応器には多分許容できない。何故ならば、サセプタのグラファイトに形成
される穴が不均一な電流、従って不均一な加熱をもたらすからである。
【0017】 他の知られているシステムは、機械的つかみ具の如き可動つかみシステムを使
用してスライスをその外径又は縁に沿って2つ又はそれより多くの点でつかむこ
とを可能とするシステムである。しかしながら、このシステムは容易に実現する
ことはできない。何故ならば、それは誘導加熱システムに全然適合性ではないサ
セプタの1つ又は複数のキャビテイの特殊な機械加工を必要とするからである。
最後に、既に述べた如く、スライスの前面とのいかなる直接の接触も許容できな
い。
【0018】 しかしながら、その外側リムにおける1つ又はそれより多くの区域に接触を限
定して、前面からのスライスのつかみを行うことができるシステムが存在する。
スライスはスライスとつかみ用具との間に形成された室によって真空システムに
より保持される。しかしながら、この場合に、上昇力はスライスの外側リムとの
接触の表面区域に限定され、そしてスライスとつかみ用具と間の位置決めの最も
僅かな誤差ですらそれぞれ、より小さな又はより大きな接触表面積をもたらし、
これはスライスのつかみの不足又は用具とスライスとの直接の接触による欠陥の
増加の危険を伴う。結論として、このシステムは用具とスライスの前面との間の
過度に大きい接触表面積により最適の性質ではない。
【0019】 本発明の目的は、平坦なディスク形状サセプタを備えそして基板に平行なガス
流を有するエピタキシャル反応器を包含する国際特許出願WO96/10659
に記載のエピタキシャル反応器の如きエピタキシャル反応器に半導体スライスを
供給しそしてエピタキシャル反応器から半導体スライスを取り出すための改良さ
れた自動化可能な装置を提供することである。
【0020】 簡単に言うと、反応器及び関連した装置の操作は下記の段階: *反応器の内側で成長させるべきスライスを含むラック又はカセットを位置決
めすること、 *以下により詳細に記載するとおり、反応室の内側にスライスを移動させる、
製品の供給、 *反応室の内側での短い水素パージング、 *サセプタ及びスライスを適当な温度とするように加熱すること、 *適切な明細により要求される処理サイクル、 *取り出し段階に適合性の温度に冷却すること、及び *以下に更に詳細に記載するとおり、成長したスライスの取り出し及びカセッ
トへの返送を含んでなる。
【0021】 供給及び取り出し段階はつかみ用具を形成する材料に適合性の温度で行われる
【0022】 各成長サイクルの後に他の成長サイクル又はいわゆるエッチングサイクルが続
き、それにおいてスライスは供給されずそして下記の操作が行われる。
【0023】 *反応室での水素による短いパージング、 *サセプタを適当なエッチング温度に上昇させるように加熱すること、 *適切な明細により必要とされるエッチングサイクル及び *エッチング段階の後のスライスの供給に適合性の温度への冷却。
【0024】 上記した国際特許出願WO96/10659の主題を形成するエピタキシャル
反応器はいわゆる「パンケーキ型」であり、即ち、誘導加熱されるディスク形状
サセプタを有する型であり、そのため上記したシステムのどれも有効に使用する
ことはできない。
【0025】 上記した欠点を克服するために、 処理されるべきスライス及び既に処理されたスライスを含むカセットを受け入
れることを意図するいわゆるクリーンルームの近くの第1反応器ゾーンを具備し
、反応器のこの部分は、空気雰囲気中にあることができ、あるいは、処理される
べきスライスのシリコンの少なくとも周囲の温度での不活性ガスによるパージン
グのための室をもくろむことができる(窒素のような低コストの不活性ガスが空
気より望ましいことがある)ようにした解決方法が使用される。特に有効なガス
シールも不活性ガスによる長い洗浄も必要とされない空気雰囲気の最も簡単な場
合を以下に説明する。空気が使用される場合には、固体粒子(ダスト)をできる
かぎり含まない空気雰囲気を保つために、空気に対するいわゆるアブソリュート
フィルター(absolute filter)のみが加えられる。更に、反応
器の同じ第1ゾーンがいつでも開くことができる2つのドアを備えていて、スラ
イスを含むカセットの取り出しを可能とし、その場合にドアの開きは不活性ガス
による特に長いパージング又は洗浄サイクルを必要としない。しかしながら、別
法として、スライスの処理が該スライスの局部的酸化による最も小さい欠陥すら
許容できなくする場合には、空気へのスライスの暴露及びその結果として該欠陥
を最小にするために、反応器の第1ゾーンはシールされたドア、並びにやはり空
気式抜き取りポンプ(pneumatic extraction pump)
及び不活性ガスの導入によるパージングのためのシステムを備えることができる
。スライスの取り扱いを制御する外部ロボットと呼ばれる第1ロボットが存在し
、その際スライスは背面から取り扱われる。何故ならば、商業的に入手可能な現
在のカセットはこの型の取り扱いを許容するように正確に構成されているからで
ある。やはり商業的に入手可能な外部ロボットのつかみ用具(gripping
tool)は、ロボットにおいて利用可能な小さな真空源によってスライスを
所定の位置に押圧して保ちながら、スライスを輸送する。
【0026】 上記の解決法の操作原理を以下に説明する。
【0027】 供給(loading)期間中、スライスは対応するカセットから取り出され
そして該外部ロボットの内側に構成されていてもよい整合及びセンタリングステ
ーションに配置される。カセット、整合及びセンタリングステーション並びに外
部ロボットは新規ではなくそして本発明の一部を形成しない。ここでは、スライ
スは所望の角度に配向されそしてその幾何学的中心の位置がその後の正確なつか
みを許容するように計算される。次いでスライスは、石英ディスクの頂部のパー
ジング室の内側に配置され、この石英ディスクは支持体として働きそして該外部
ロボットの商業的つかみ用具による取り扱いを許容するような形状である。石英
ディスクは取り出し期間中スライスの熱をより良好に消散させるようにスライス
に比べて相対的に大きな質量を有する。
【0028】 すべての痕跡量の空気を完全に除去するために、アクセスドアを閉じそしてパ
ージング室を不活性ガスで洗浄し、そして必要ならば、該パージング操作を促進
するために真空抜き取りサイクルにより洗浄を支援することができる。この点で
、本発明に従う内部ロボットが操作する室へのアクセスを与えるドアを開き、そ
の際該室は常に不活性ガス雰囲気中で操作され、そして保守操作中以外は、決し
て空気に暴露されない。
【0029】 内部ロボットのつかみ用具又は手は本発明の一部を形成し、本発明はスライス
をそれらの縁による極めて限定された接触によって適当に取り扱うことを可能と
する。実際、各スライスは約1mmを越えて延びている面取りされた部分又は縁
を有する。スライスの縁と接触するつかみ用具の部分は約1mmを越えて延びて
いる縁の基部面取り部(sole chamfer)に接触ゾーンを限定するよ
うな形状であり、そして石英の如き適当な材料から作られる。しかしながら、ス
ライスのこの部分は集積回路の製造には有用ではなく、従ってこの解決法は最終
製品の品質に対して損傷を与えない。更に、つかみ用具又は手は2つの機能、即
ち、 第1は反応室の内側のサセプタの位置に達するようにロボットロアームを延ば
す機能であり、 第2は該スライスの上昇を伴う操作期間中に用具又は手と使用されるスライス
とのある程度の自己水平化(self−levelling)を、関節接合(a
rticulation)により又はアームの柔軟性を利用することにより達成
する機能である、 有する構造的管によって内部ロボットのアームに接続されている。
【0030】 スライスの上昇は専用の空気式機械により得られる或る真空により保証される
。真空は一連の穴によってスライスに伝達され、該一連の穴はつかみ用具の周に
沿って分布しておりそしてスライスの周囲のゾーン(round zone)に
集中しており、そして、各スライスの同定又は配向のために使用される平坦なゾ
ーン又は凹んだノッチゾーンには存在せず、その場合に150mmまでの直径を
有するスライスでは平坦なゾーンが好ましく、これに対してより大きい直径のス
ライスの場合には、凹んだノッチゾーンが好ましい。スライスの形状におけるす
べての不規則性は真空の効果の局部的減少を引き起こすので、本発明に従えば、
つかみ用具における適当に計算された濃度の吸引穴によりこの減少を相殺するこ
とが必要である。
【0031】 供給期間中、サセプタは回転しそしてそれ自体知られている基準システムによ
って供給されるべきキャビテイを正確に位置決めする。この位置決めはそれ自体
既知の光学システムによって行うことができる。次いで、スライスは反応室に導
入されそしてサセプタの適当なキャビテイの上に位置づけられる。内部ロボット
は僅かに下向きに移動し、スライスをキャビテイと接触させ、そして真空が除去
されるとき、該キャビテイ上に供給されるスライスを放出する。供給段階はサセ
プタに存在するすべてのキャビテイが占拠されるまで繰り返される。次いでスラ
イスのスケジュール化された処理が開始される。
【0032】 すべての光学システムの中から、レーザーエミッターと問題のサセプタとの間
の距離を測定して、該距離に比例したアナログ信号を発生するレーザーテレメー
ターシステムを使用することが可能である。例えば、レーザーテレメーターは、
走査アルゴリズムによるサセプタの平行な位置決め及びそれ自体知られている機
械的手段(例えばマイクロメーターねじ)によるその後の訂正における欠陥を排
除するのを助ける。この平行な位置決めはスライス上へのエピタキシャルデポジ
ッションの均一性を保証するのに必須である。
【0033】 スライスのスケジュール化された処理が終わると、サセプタからスライスを取
り出すことが必要である。これを行うために、サセプタが反応室からのスライス
の損傷なしの抜き出しを許容する適当な温度に達するのを待った後、該内部ロボ
ットを再び使用することが必要であり、該内部ロボットは各スライスをサセプタ
の対応するキャビテイから取り出し、そしてそれをパージング室の内側に輸送し
、そこでスライスは石英ディスク上に置かれ、石英ディスクはその大きな質量に
よりスライスを冷却する。十分な冷却の後、スライスは反応器の第1ゾーンに配
置されたカセットの1つの座に外部ロボットにより移送される。
【0034】 上記した方法を実施する本発明は、 反応室と、 半導体材料の基板又はスライスを取り扱うための内部ロボットと、 内部ロボットを収容するシールされた室と、 スライスを清浄化雰囲気に通すためのパージング室と、 半導体材料のスライスを積み重ねられた配列で収容するカセットを含む貯蔵ゾ
ーンと、 スライスを該貯蔵ゾーンから内部ロボットに移送するための外部ロボット、 を具備する半導体材料のスライスの如きエピタキシャル装置又は反応器において
製造される材料の基板を取り扱うための装置であって、 内部ロボットのつかみ手段は少なくとも1つの関節で接合されたアーム(ar
ticulated arm)を具備し、該アームは、反応室に挿入することが
でき、そしてパージング室から半導体材料のスライスを取り出しそしてそれをシ
ールされた室に通した後反応室のディスク形状サセプタのキャビテイ内に置かれ
るように輸送しそして逆にキャビテイからパージング室に輸送するためのつかみ
用具又は手(hand)で終わっており、該手は周ゾーン又は面取りされた縁に
沿ってスライスに接触し、そして該手は真空効果によってスライスをつかみそし
てそれをディスク形状サセプタ上に置き、その際最初に一側で対応するキャビテ
イに接触し、次いでそれと完全に接触し、そして逆にスライスを反応室からパー
ジング室に輸送するときは、真空効果によりスライスをつかみ、スライスをサセ
プタのキャビテイから取り外し、その際スライスを最初に一側で上昇させ、次い
でスライスを完全に取り外し、そして最後にスライスをパージング室に入られた
支持ディスク上に置くようになっていることを特徴とする装置にある。
【0035】 特にアームは管状且つ中空であり、一側で柔軟性管によって真空源に接続され
ており、そして他の側で手の内側に形成された円形キャビテイに接続されて、該
手の底部側と該手の下に存在するスライスとの間に真空を加えるようになってい
る。
【0036】 好ましくは、関節接合手段(articulation means)が中空
管状アームと内部ロボットの関節で接合されたアームとの間に配置され、該手段
は中空管状アームを昇降させて、該手を関節で接合されたアームにより規定され
た面より上及び下に運ぶようになっている。
【0037】 更に、関節接合手段が中空管状アームと内部ロボットの関節で接合されたアー
ムとの間に配置されており、該手段は中空管状アームのその長手方向軸線のまわ
りの回転も可能とする。
【0038】 好ましくは、関節接合手段は、関節で接合されたアームに固定されている支持
ベアリングを含んでなり、そして関節接合手段がそのまわりに回転する回転ピン
と、関節で接合されたアームの面に対する該手の上昇及び降下の許容された高さ
を固定するための調節ネジを担持し、それにより該手はディスク形状サセプタ上
に半径方向において前部でのみ、後部でのみ又は完全に該サセプタと水平で(l
evel)載ることができる。
【0039】 更に、関節接合手段は、中空管状アームのまわりに、ディスク形状サセプタの
半径に垂直な方向における該手の整合を可能とするための抗摩擦ブッシユも具備
する。
【0040】 更に好ましくは、該手は取り扱われるべきスライスの直径より大きい直径を有
するディスクの形態にあり、そして該スライスの外周リムとのみ係合する凹んだ
座(recessed seat)を備えた、スライスに面している底部部品(
bottom part)を有する。
【0041】 更に、スライスの周リムは上部表面を該スライスの側部表面に接続する面取り
された領域として形成される。
【0042】 好ましくは、座は手の底部部品に存在し、そしてスライスと手との間に真空を
加えるように中空管状アームに接続されている該手の内側の室に接続された複数
の周穴(peripheral holes)を備えている。
【0043】 最も好ましくは、周穴は主として真空の最も大きい損失が想像されるところに
集中している。
【0044】 特定の態様では、レーザーテレメーターを使用してレーザーエミッターと問題
の反応室のディスク形状サセプタとの間の距離を測定して、該距離に比例したア
ナログ信号を発生し、該テレメーターはサセプタの水平配列(level ar
rangement)の欠陥及びサセプタと反応室の平行な位置決めの欠陥を検
出する。
【0045】 更に、サセプタの外側リムに形成されたノッチは角度基準点(angular
reference point)として使用され、角度基準点はレーザーテ
レメーターにより検出することができ、そしてスライスのためのキャビテイはこ
のノッチから出発しているとみなされる。
【0046】 本発明に従えば、上記した装置の手によって反応室に存在するディスク形状サ
セプタの座にスライスを配置するための方法であって、真空によって該手に付着
させられるスライスは、上昇した位置で反応室に入り、ディスク形状サセプタの
キャビテイの1つの上に輸送され、該キャビテイ上に配置されるように降下させ
られ、その際最初は半径方向内側の点でキャビテイに接触するように前方に傾斜
しており次いでその全体の表面と接触し、次いでスライスを手に付着させた保つ
真空の除去の後、再び降下させられて手をスライスから取り外し、次いで手は再
び上昇させられてスライスから完全に取り外され、そして最後に後退させられて
反応室を去ることを特徴とする方法も提供される。
【0047】 別法として、上記した装置の手によって反応室に存在するディスク形状サセプ
タの座からスライスを取り出すための方法は、該手が、上昇した位置で反応室に
入り、ディスク形状サセプタのキャビテイの1つに収容されたスライスの上に輸
送され、最初にスライスの半径方向内側の点で、次いでスライスの全体の周にわ
たり該手がスライスに接触するまで降下させられ、次いで全周にわたりスライス
に接触した後、真空を加えてスライスをその縁で手に付着させ、次いで再び上向
きに移動し初めて、最初はスライスの半径方向外側の点で、次いでスライスの全
体の表面にわたりスライスをキャビテイから取り外し、そして最後にスライスが
サセプタのキャビテイから完全に上昇した後、スライスを反応室の外側に輸送す
ることを特徴とする。
【0048】 本発明の特徴はその最初の部分の特許請求の範囲に記載されている。しかしな
がら、他の特徴及び利点が非限定的例として与えられる本発明の態様の例の下記
の詳細な説明から明らかになるであろう。
【0049】 半導体材料のスライス24a-eを該スライス24a-eを受け入れるための寸法を
有するキャビテイ28a-eを備えたディスク形状サセプタ26上に置くため及び
/又はディスク形状サセプタ26から取り出すためのいくつかのロボットにより
作動される反応室22を含んでなるエピタキシャル反応器20を示すすべての図
1を考察しよう。
【0050】 スライス24a-eは手動で操作されることなく反応室22に存在するディスク
26のキャビテイ28a-e内に配置されそしてキャビテイ28a-eから取り出され
なければならないので、この目的で、いわゆる内部ロボット30が設けられてお
り、該ロボット30は本発明の主題を形成するつかみ用具又は手70で終わる管
状アーム64を具備し、そしていわゆる外部ロボット32が設けられている。内
部ロボット30は反応室22とパージング室34との間に位置したままであり、
これらはスライスのための第1ゾーン又は貯蔵ゾーン36と内部ロボット30と
の間を進む及び逆に内部ロボット30から第1貯蔵ゾーン36へと進むスライス
24a-eを処理する機能を有する。
【0051】 貯蔵ゾーン36は2つのカセット38及び40を備えており、該カセットは、
反応室22において処理を受けるべき半導体材料のスライスを入れる機能又は反
応室22で処理を受けた半導体材料のスライスを入れる機能を有する。更に、貯
蔵ゾーン36は真空型のつかみ手段44で終わる関節で接合されたアーム42を
含み、該つかみ手段44はカセット38からパージング室34への及び逆にパー
ジング室34からカセット40へのスライス24の輸送を保証する機能を有して
いる。関節で接合されたアーム42はそれ自体既知である。
【0052】 パージング室34はギロチンドアの如き迅速作用型の第1の密封シールされた
(hermetically sealed)ドア50と、ドア50と同じ型の
第2の密封シールされたドア52と、該パージング室34に進むスライス24を
支持するためのディスク54を具備する。好ましくは、ディスク54は良好な耐
摩耗性及び実質的にゼロの汚染を保証するように石英から作られそして、スライ
ス24を置き又は取り出すためにパージング室34につかみ手段44が入るとき
つかみ手段44を受け入れるための凹部55を備えている。ディスク54は、冷
却段階においてスライスの熱をより良好に消散させるために、スライスと比較し
て相対的に大きい質量を有する。パージング室34は、スライス24が、空気又
は窒素の如き他の簡単な不活性ガスであることができる貯蔵ゾーン36の実質的
にダストのない雰囲気から主として水素からなる反応室22の雰囲気に進むため
及び逆に本質的に水素からなる反応室22の雰囲気から貯蔵ゾーン26の雰囲気
に進むための準備をする機能を有する。何故ならば、水素と反応するガスを反応
室に導入すること及び水素及びCVD操作期間中反応室で形成されそして例えば
HClの如き毒性又は刺激性のものでありうるガスを大気に放出することを回避
することが望ましいからである。
【0053】 内部ロボット30は、パージング室34と連通している密封シールされたドア
52の外に、反応室22と連通している他の密封シールされたドア57も備えた
シールされた室56内に入れられており、そして関節で接合されたアーム58を
具備し、該アームはその外側端部につかみ及び輸送手段60を有し、これは図2
〜4で詳細に説明されそしてスライス24をパージング室34から反応室22に
及びその逆に輸送する機能を有する。
【0054】 図2〜4を考察すると、本発明に従うつかみ及び輸送手段60は、関節で接合
されたアーム58に接続されている関節接合手段62、真空源として空気圧機械
(示されていない)に接続されている柔軟性管68(図1で見られる)への接続
のための接続ピース66を備えた管状アーム64からなる。管状アーム64は関
節接合手段62から遠いその端部でつかみ用具又は手70に接続されており、該
つかみ用具又は手70はパージング室34に存在するスライス支持ディスク54
からディスク形状サセプタ26への及び逆にサセプタ26から支持ディスク24
へのスライス24の輸送期間中スライス24を保持する機能を有する。
【0055】 手70は円形リムの形態にありそして互いに結合された2つの部品72及び7
4により形成される。頂部部品72は管状アーム64に接続されたクランプ78
に取り付ける(secure)ためのテールピース76を備えており、そして底
部部品74のテールピース80と一緒になって管状アーム64と連通しているダ
クト82を形成する。底部部品74は円形キャビテイ84を備え、円形キャビテ
イ84はダクト82と連通しておりそしてスライス24の方に向けられたその側
を通る小さな穴86a-mと連通して入る。更に、この底部部品74は、スライス
に対してなされるべき処理のための有用な機能を有していないとみなされるスラ
イス24の限定された縁部分25とのみ接触する。
【0056】 つかみ手段60がサセプタディスク26のキャビテイ28の内側に半導体スラ
イス24を置く手順を示す図5〜8を考察しよう。図2及び3に十分に示された
とおり、つかみ手段60は、作動時に真空源と連通している柔軟性管68に、管
状アーム64及び接続ピース66により接続されている手70により形成される
【0057】 図5に示されたとおり、つかみ手段60は反応室72に入り、手70に付着し
ている半導体スライス24を輸送し、そこでスライス24の手70への付着は接
続ピース66に加えられた真空(記号的には矢印90により示される)により保
証される。反応室22への入りは関節で接合されたアーム58の前方移動を示す
第1の肉太矢印92により記号的に示される。次いで、つかみ手段60は該関節
で接合されたアーム58の下向き移動を示す第2の肉太矢印94により記号的に
示されたように、ディスク形状サセプタ26の方に向けて下向きに移動し始める
【0058】 関節で接合されたアーム58が手70により保持されたスライス24がディス
ク形状サセプタ26上のキャビテイ28に接触しないように持ち上げられている
かぎりは、手70及びスライス24の重量は、関節接合手段62が、ピボットピ
ン98のまわりに回転しそして調節ねじ100により許容された点まで降下しな
がら、アーム58の端部に存在するパッド96上に載ることを引き起こす。アー
ム58が、図6に見られるようにスライス24の端部がサセプタ26の内側の方
に位置したキャビテイ28に接触する点まで下向きに移動すると、手70の下向
き移動は止まり、そして図7に見られるとおり、スライス24がキャビテイ28
上に完全に載るまでねじ100の上昇(raising)の開始と共につかみ手
段60はサセプタ26に次第に平行に配向される。この点で、矢印90により表
された真空が除去されて、スライス24を手70から外させる。その間に、スラ
イス24が手70から外される前に、セラミック材料又はテフロンの如き抗摩擦
材料から作られた2つのブッシュ63及び65は、図3に示された円形矢印67
の方向に管状アーム64を回転させて、キャビテイ28の内側のスライス24の
完全な水平化を許容し、それによりつかみ及び輸送手段60に本発明の一部を形
成する自己水平化の特徴を与える。スライス24がキャビテイ28により十分に
支持されそしてキャビテイ28の内側に水平化し、そして真空90の不存在が該
スライスからの手70の分離を引き起こすと、関節で接合されたアーム58の更
なる下向き移動は、図8に示され得るとおり、スライス24の内側からの手70
の上昇をもたらす。
【0059】 図9〜12を検討すると、手70がスライス24からいかにして取り外されて
、スライス24をサセプタ26のキャビテイ28の内側に完全に放出すること、
ができるかがわかる。実際、図9において、真空90の該不存在は手70をスラ
イス24から実質的に取り外して保ちながら、アーム58は、手70が、手に加
えられる真空90の不存在のためスライスから外れたままで、図10に見られる
とおりスライス24上に完全に載るまで、肉太矢印104の方向に上向きに移動
し始める。矢印104の方向における関節で接合されたアーム58のその後の上
昇は図11にみられるとおり外側における手70の上昇を引き起こす。最後に、
アーム58の更なる上昇はスライス24から手70を完全に取り外しそして上昇
させ、そして矢印106の方向のアーム58の後退移動はつかみ及び輸送手段6
0を反応室22(図1参照)の外側に運ぶ。
【0060】 図13〜16はつかみ手段60がサセプタ26のキャビテイ内に着座したスラ
イス24上の手70の位置決めを引き起こす手順を示し、そして図17〜20は
サセプタ26のキャビテイ28からのスライス24の上昇及び取り出しを示す。
【0061】 特に図13〜16を参照すると、関節で接合されたアーム58は、矢印92に
より示された前方移動により、手70をスライス24と整合させ、これに対して
矢印94により示された降下移動により、手をスライスに向かって移動させるこ
とがわかる。図14から分かるとおり、アーム58の更なる降下は手70を最初
にスライス24の内側と接触させ、そして最後に、図15に見られるとおり、ス
ライス全体と接触させる。ブッシュ63及び65は、管状アーム64の軸線のま
わりの回転を許容することにより、手70のスライス24との完全な自己整合(
self−alignment)も許容する。アーム58は図16に示されたと
おり、更に少し下向きに移動することができ、手70のスライス24の内側から
の確実な取り外しを引き起こすが、これは重要ではない。
【0062】 図17を参照すると、矢印90により示された真空を管状アーム64に加えて
、最初にスライス24の外側を手70に付着させながら、矢印104により示さ
れたとおり、いかにして関節で接合されたアーム58が再び上向きに移動し始め
るかをみることができる。次いで、図18に示されたとおり、関節で接合された
アーム58の更なる上昇は、真空90がスライス24を手70にくっつけながら
(attached)、手70をスライス24に完全に付着させる(adher
ed)。アーム58のその後の更なる上昇は、ねじ100がパッド96上に載る
まで関節接合手段62のそのピボットピン98のまわりの回転を引き起こし、図
19に見られるとおり、スライス24の外側をサセプタ26のキャビテイ28か
ら取り外させ、それによりキャビテイ28からのスライス24のいかなる強制の
分離(forced separation)(スライス24をキャビテイ28
に平行に保ってスライス24を取り外すことを試みた場合に起こり得るのだが)
も回避する。これは手70により加えられた過剰の力によるスライス24に対し
て起こり得る損傷を回避し、そしてキャビテイ28からのスライス24の突然の
放出による該管状アーム64の起こり得る振動を回避する。最後に、図20に見
られるとおり、矢印104の方向のアーム58の最終的上昇はスライス24をキ
ャビテイ28から完全に取り外し、その間矢印106の方向のアーム28の後退
移動は、手70を反応室22(図1参照)の外側にスライス24と共に運ぶ。
【0063】 スライス24の反りから生じる過剰の変形を回避し及び/又は手70により該
スライスが輸送されるとき小さな整合の誤差を相殺するために、手70により加
えられる真空を調節するための手段が設けられる。特に、手70がスライス24
と係合しはじめる間に真空を最大値に保持しなければならないが、次いで手70
のスライス24との係合が完了したとき予め設定された値に調節される。
【0064】 上記の説明は、本発明をいかなる方法においても限定するものと見なされるべ
きではない本発明の態様の例を例示するものであり、本発明の包含される範囲は
特許請求の範囲により規定されるであろう。従って、上記した説明を読んだ後当
業者が行うことができるすべてのこれらの論理的に均等な解決策は本発明に包含
されるものとみなさなければならない。
【図面の簡単な説明】
【図1】 外部ロボットと本発明に従う内部ロボットにより作用されるディスク形状エピ
タキシャル反応器の断面平面図である。
【図2】 本発明に従う内部ロボットの延びることができるアームの端部に位置したつか
み用具又は手の断面切頭側面図である。
【図3】 該つかみ用具又は手の断面切頭平面図である。
【図4】 手が輸送されるべき半導体材料のスライスといかに係合するかを示すことを意
図した図2の円で囲まれた手の詳細の部分断面拡大図である。
【図5〜8】 サセプタのキャビテイにスライスを供給するように半導体スライスを担持する
内部ロボットのつかみ手段の、サセプタのキャビテイの方に向けてのアプローチ
シーケンスを示す断面切頭側面図である。
【図9〜12】 サセプタのキャビテイに供給されたスライスからつかみ手段が取り外されるシ
ーケンスを示す断面切頭側面図である。
【図13〜16】 半導体スライスを取り出すために、サセプタのキャビテイに存在する該半導体
スライスの方に向けてのロボットのつかみ手段のアプローチシーケンスを示す断
面切頭側面図である。
【図17〜20】 半導体スライスがサセプタのキャビテイから取り外されそして取り出されるシ
ーケンスを示す断面切頭側面図である。
【手続補正書】特許協力条約第34条補正の翻訳文提出書
【提出日】平成13年2月5日(2001.2.5)
【手続補正1】
【補正対象書類名】明細書
【補正対象項目名】全文
【補正方法】変更
【補正の内容】
【発明の名称】 平坦なディスク形状サセプタを有するエピタキシャル誘導反応
器における自己水平化真空システムにより基板を取り扱うための装置及びその操 作方法
【特許請求の範囲】
請求項】 該座は手(70)の底部部品(74)に存在し、そしてスラ
イス(24)と手(70)との間に真空を加えるように中空管状アーム(64)
に接続されている手(70)の内側の室(84)に接続された複数の周穴(86
)を備えていることを特徴とする請求項7に記載の基板を取り扱うための装置。
【請求項】 周穴(86)は主として真空の最も大きい損失が想像される
ところに集中していることを特徴とする請求項に記載の基板を取り扱うための
装置。
【請求項10】 レーザーテレメーターを使用してレーザーエミッターと問
題の反応室(22)のディスク形状サセプタ(26)との間の距離を測定して、
該距離に比例したアナログ信号を発生させ、該テレメーターはサセプタ(26)
の水平配列の欠陥及び反応室(22)に対するサセプタ(26)の平行な位置決
めの欠陥を検出することを特徴とする前記請求項に記載の基板を取り扱うための
装置。
【請求項11】 サセプタ(26)の外側リムに形成されたノッチは角度基
準点として使用され、角度基準点はレーザーテレメーターにより検出することが
でき、そしてスライス(24)のための凹んだ座(28)はこのノッチから出発
しているとみなされることを特徴とする請求項10に記載の基板を取り扱うため
の装置。
【請求項12】 前記請求項に記載の装置の手(70)によって反応室(2
2)に存在するディスク形状サセプタ(26)の凹んだ座(28)にスライス(
24)を配置するための方法であって、真空によって手(70)に付着させられ
るスライス(24)は、上昇した位置で反応室(22)に入り、ディスク形状サ
セプタ(26)の凹んだ座(28)の1つの上に輸送され、該(28)上に配
置されるように降下させられ、その際最初につかみ手段(60)のアーム(64 )から最も遠くに位置したスライス縁でのみ凹んだ座(28)に接触するように 前方に傾斜しており、次いでスライス(24)を手(70)に付着させて保つ真
空の除去の後、更に降下させられて手(70)をスライス(24)から取り外し
、次いで手(70)は再び上昇させられてスライス(24)から完全に取り外さ
れ、そして最後に後退させられて反応室(22)を去ることを特徴とする方法。
【請求項13】 手(70)は、上昇した位置で反応室(22)に入り、デ
ィスク形状サセプタ(26)の凹んだ座(28)の1つに収容されたスライス(
24)の上に輸送され、最初につかみ手段(60)のアーム(64)から最も遠 くに位置した縁で 、次いでスライス(24)の全周にわたり、該手がスライス(
24)に接触するまで降下させられ、次いで全周にわたりスライスに接触した後
、真空を加えてスライス(24)をその縁(25)で手(70)に付着させ、次
いで再び上向きに移動し初めて、最初につかみ手段(60)のアーム(64)に 最も近くに位置した縁で、 次いでスライス(24)の全表面にわたり、スライス
(24)を凹んだ座(28)から取り外し、そして最後にスライス(24)がサ
セプタ(26)の凹んだ座(28)から完全に上昇した後、スライスを反応室(
22)の外側に輸送することを特徴とする請求項1〜11に記載の装置の手(7
0)によって反応室に存在するディスク形状サセプタ(26)の凹んだ座(28
)からスライス(24)を取り出すための方法。
【請求項14】 反りによるスライス(24)の過度の変形を回避するため
に、手(70)に真空を加え、該真空は、スライス(24)が手(70)と完全
に接触していない時、該手(70)によるスライス(24)の係合の開始時に最
大であるが、その後真空を調節するための手段が該真空を、スライス(24)の
実質的な変形を引き起こすことなくスライス(24)と手(70)との付着を維
持するのに十分な最小値に減少させることを特徴とする請求項13及び14に記
載の方法。
【発明の詳細な説明】 本発明は基板(substrates)、特に半導体スライスを、該基板上へ
の半導体材料の化学蒸着(CVD)に使用される装置において取り扱うための装
置及び該装置の操作方法に関する。特に本発明は、エピタキシャル反応器におい
て使用される基板を取り扱うための装置に関するものであり、そして特に、該基
板、好ましくは集積回路用のチップの如き半導体部品の製造に使用されるシリコ
ン基板上への材料の化学蒸着(CVD)を行うためのエピタキシャル反応器に関
する。 更に特定的には、本発明は、「平坦なディスク形状サセプタを備えそして基板
に平行なガス流を有するエピタキシャル反応器」というタイトルを有する、19
95年9月14日に出願された国際特許出願WO96/10659により包含さ
れるエピタキシャル反応器の如きエピタキシャル反応器において使用される装置
に関する。この装置の使用により、上記したエピタキシャル反応器はカセットツ
ーカセット(cassette−to−cassette)型の反応器となる。
何故ならば、まだ未処理の基板を含むカセットが反応器の内側に配置されており
、そして製品供給サイクル中、本発明の一部を形成しない第1の機械化されたア
ーム又はロボットが基板を貯蔵ラック又は「カセット」からパージング室(pu
rging chamber)に輸送するために使用され、そして本発明の主題
を形成するつかみ及び輸送手段を外部に担持する第2の機械化されたアーム又は
ロボットが基板をパージング室からサセプタに輸送するために使用され、これに
対して、取り出しサイクル(unloading cycle)期間中、第2ロ
ボットは基板をサセプタからパージング室に輸送し、次いで第1ロボットが処理
を受けた該基板をパージング室からカセットの1つに輸送し、このすべては反応
器の操作を監督するオペレーターの手動の介在なしに行われるからである。 本発明は、特に、冷壁CVDシステム(cold−wall CVD sys
tems)、好ましくはクロロシラン蒸気熱分解を伴うデポジッションによる半
導体テバイスの製造に使用される基板又はシリコンスライス上のエピタキシャル
成長を与えることができる反応器に適用することができる。 商業的に最も普及しているエピタキシャル反応器は2つの主要な範疇に分ける
ことができる。即ち、 a)一度に1つのスライスを処理することができる単一スライス反応器(si
ngle−slice reactors)、及び b)複数の基板又はスライスを同時に処理することができるバッチ型反応器
である。 上記反応器のために使用される加熱システムは2つのタイプとして分類するこ
とができる。即ち、ランプ型加熱システム及び媒体又は高周波誘導加熱システム
である。 工業的レベルで最も普及しているバッチ型反応器は本質的に2つの型である。
即ち、いわゆる「バレルシステム(barrel systems)」を使用す
る、即ち、プリズム状又は切頭ピラミッドサセプタ(prismatic or
truncated−pyramid susceptor)を有する反応器
及び「パンケーキ」システムを使用する、即ち実質的に平坦なディスク形状サセ
プタ(flat disk−shaped susceptor)を有する反応
器である。 典型的には、現在、バッチ型反応器は手動供給型であり、これに対して単一ス
ライス反応器は自動供給型である。 自動供給反応器においては、基板又はスライスは利点と欠点の両方を与える種
々の方法で取り扱うことができる。基板の取り扱いは、半導体の分野、特に温度
に関連した問題及び粒子の汚染から生じる問題があるエピタキシャル反応器にお
いて特に決定的に重要である(critical)。 一般に、各基板又はスライスは底部側(背面)、頂部側(前面)及び側壁(縁
)を有する。前面及び背面の寸法は普通は75〜300mmであり、そして40
0mmという大きなものであることすらあるが、縁の寸法は1mmより小さいか
又は1mmに近い。前面はスライスの最も重要な部分である。何故ならばそれは
化学反応プロセス、即ち、デポジッションが起こる部分であるからである。 上記した理由で、前面と取り扱いのために使用されるあらゆる型の用具とのす
べての接触を回避することが重要である。何故ならば、とるにたらない性質のい
かなる接触も結晶格子の欠陥を引き起こすからである。供給期間中に欠陥が形成
されるならば、それらは続く熱プロセスにより拡大される。しかし取り出し期間
中に導入される欠陥も回避されなければならない。 基本的には、スライスの前面との偶然の性質の接触ですらいかなる時点でも決
して許容されないと言える。他方、或る限界内で、該スライスの背面及び縁との
接触は許容される。 従って、スライスを移動させるために、前面を介して(しかしなんらの直接の
接触なしに)、背面又は縁を介して作用させることが可能である。 基本的には、用具とスライスとの接触なしに前面からの取り扱いを許容する唯
一のシステムがあり、それはベルヌーイ効果に基づいたシステムであり、それに
よれば適当なつかみ用具[端部作動体(end effector)]を与える
ことにより、スライスの前面に向けてろ過された不活性ガスを吹きつけることに
よって、水平位置においてスライスの重量に打ち勝ってスライスを浮遊させて(
suspended)保つのに十分なスライスに対する誘因効果を創り出すこと
が可能である。 しかしながら、スライスの縁と用具のいくらかの固定された点との偶然の接触
は必ず起こる。何故ならば、支持体、従って摩擦の不存在下では、つかみ用具の
下にスライスを固定するためのいくらかの固定された点を有することが必要であ
るからである。しかしこのことは特にネガティブではない。 取り出し期間中、その内側にスライスが着座しているキャビテイからスライス
を上昇させるために、それ自身の重量に加えて、キャビテイとスライスとの間に
形成される僅かな真空にも打ち勝つことが必要である。これはベルヌーイ効果だ
けによっては可能ではないので、例えばスライスの下のキャビテイに形成される
小さなチャンネルのネットワークによってこの真空の形成を回避することが必要
である。この技術は優れているが、誘導加熱反応器よりもランプ加熱反応器によ
り良く適している。何故ならば、サセプタのグラファイト塊における非伝導性チ
ャンネルの存在はスライスの加熱の均一性に不利に影響するからである。更に、
この技術はバッチ型の反応器と特に適合性ではない。何故ならば、不活性ガスの
流れは取り扱い期間中スライスの前面を清浄に保つのを助けるけれども、特に取
り扱いがサセプタの付近で行われる場合には、ガスの流れが運動して存在するい
かなるダスト粒子も移動させるので、隣接したスライスにとってそれは多分有害
であるからである。 他のシステムは背面からスライスを取り扱うことにあるが、スライスがカセッ
トの内側にあるときスライスの背面はアクセス可能であるが、スライスがサセプ
タ上に位置しているときはもはやそうではないという問題がある。この欠点を克
服するために、サセプタに貫通穴を形成しそして必要ならば該穴を通る小さな支
持体によってスライスを上昇させることが可能であり、該支持体は上向きに運動
して上昇を行いそして下向きに運動してサセプタにおけるスライスの着座を可能
とすることができる。実際、供給サイクル期間中、支持体を上昇させそしてスラ
イスをそれらの上に載せる。次いで支持体を降下させそしてスライスをサセプタ
上の対応する座に置かせる。取り出しサイクル期間中、支持体をスライスと共に
上昇させ、次いで適当な材料から作られた舌状体又はつかみ用具(端部作動体)
をスライスの下に導入しそしてスライスを取り出す。より大きな安定性が必要な
らば、スライスとつかみ用具との間に僅かな真空を加えることによりスライスに
ブレーキをかけることが可能である。しかしながら、この技術は、有効ではある
けれども、実際にはランプ加熱型の反応器に正当に適用できるだけであり、誘導
加熱反応器には多分許容できない。何故ならば、サセプタのグラファイトに形成
される穴が不均一な電流、従って不均一な加熱をもたらすからである。 他の知
られているシステムは、機械的つかみ具の如き可動つかみシステムを使用してス
ライスをその外径又は縁に沿って2つ又はそれより多くの点でつかむことを可能
とするシステムである。しかしながら、このシステムは容易に実現することはで
きない。何故ならば、それは誘導加熱システムに全然適合性ではないサセプタの
1つ又は複数のキャビテイの特殊な機械加工を必要とするからである。最後に、
既に述べた如く、スライスの前面とのいかなる直接の接触も許容できない。 しかしながら、その外側リムにおける1つ又はそれより多くの区域に接触を限
定して、前面からのスライスのつかみを行うことができるシステムが存在する。
スライスはスライスとつかみ用具との間に形成された室によって真空システムに
より保持される。しかしながら、この場合に、上昇力はスライスの外側リムとの
接触の表面区域に限定され、そしてスライスとつかみ用具と間の位置決めの最も
僅かな誤差ですらそれぞれ、より小さな又はより大きな接触表面積をもたらし、
これはスライスのつかみの不足又は用具とスライスとの直接の接触による欠陥の
増加の危険を伴う。結論として、このシステムは用具とスライスの前面との間の
過度に大きい接触表面積により最適の性質ではない。 本発明の目的は、平坦なディスク形状サセプタを備えそして基板に平行なガス
流を有するエピタキシャル反応器を包含する国際特許出願WO96/10659
に記載のエピタキシャル反応器の如きエピタキシャル反応器に半導体スライスを
供給しそしてエピタキシャル反応器から半導体スライスを取り出すための改良さ
れた自動化可能な装置を提供することである。 簡単に言うと、反応器及び関連した装置の操作は下記の段階: *反応器の内側で成長させるべきスライスを含むラック又はカセットを位置決
めすること、 *以下により詳細に記載するとおり、反応室の内側にスライスを移動させる、
製品の供給、 *反応室の内側での短い水素パージング、 *サセプタ及びスライスを適当な温度とするように加熱すること、 *適切な明細により要求される処理サイクル、 *取り出し段階に適合性の温度に冷却すること、及び *以下に更に詳細に記載するとおり、成長したスライスの取り出し及びカセッ
トへの返送を含んでなる。 供給及び取り出し段階はつかみ用具を形成する材料に適合性の温度で行われる
。 各成長サイクルの後に他の成長サイクル又はいわゆるエッチングサイクルが続
き、それにおいてスライスは供給されずそして下記の操作が行われる。 *反応室での水素による短いパージング、 *サセプタを適当なエッチング温度に上昇させるように加熱すること、 *適切な明細により必要とされるエッチングサイクル及び *エッチング段階の後のスライスの供給に適合性の温度への冷却。 上記した国際特許出願WO96/10659の主題を形成するエピタキシャル
反応器はいわゆる「パンケーキ型」であり、即ち、誘導加熱されるディスク形状
サセプタを有する型であり、そのため上記したシステムのどれも有効に使用する
ことはできない。 上記した欠点を克服するために、 処理されるべきスライス及び既に処理されたスライスを含むカセットを受け入
れることを意図するいわゆるクリーンルームの近くの第1反応器ゾーンを具備し
、反応器のこの部分は、空気雰囲気中にあることができ、あるいは、処理される
べきスライスのシリコンの少なくとも周囲の温度での不活性ガスによるパージン
グのための室をもくろむことができる(窒素のような低コストの不活性ガスが空
気より望ましいことがある)ようにした解決方法が使用される。特に有効なガス
シールも不活性ガスによる長い洗浄も必要とされない空気雰囲気の最も簡単な場
合を以下に説明する。空気が使用される場合には、固体粒子(ダスト)をできる
かぎり含まない空気雰囲気を保つために、空気に対するいわゆるアブソリュート
フィルター(absolute filter)のみが加えられる。更に、反応
器の同じ第1ゾーンがいつでも開くことができる2つのドアを備えていて、スラ
イスを含むカセットの取り出しを可能とし、その場合にドアの開きは不活性ガス
による特に長いパージング又は洗浄サイクルを必要としない。しかしながら、別
法として、スライスの処理が該スライスの局部的酸化による最も小さい欠陥すら
許容できなくする場合には、空気へのスライスの暴露及びその結果として該欠陥
を最小にするために、反応器の第1ゾーンはシールされたドア、並びにやはり空
気式抜き取りポンプ(pneumatic extraction pump)
及び不活性ガスの導入によるパージングのためのシステムを備えることができる
。スライスの取り扱いを制御する外部ロボットと呼ばれる第1ロボットが存在し
、その際スライスは背面から取り扱われる。何故ならば、商業的に入手可能な現
在のカセットはこの型の取り扱いを許容するように正確に構成されているからで
ある。やはり商業的に入手可能な外部ロボットのつかみ用具(gripping
tool)は、ロボットにおいて利用可能な小さな真空源によってスライスを
所定の位置に押圧して保ちながら、スライスを輸送する。 上記の解決法の操作原理を以下に説明する。 供給(loading)期間中、スライスは対応するカセットから取り出され
そして該外部ロボットの内側に構成されていてもよい整合及びセンタリングステ
ーションに配置される。カセット、整合及びセンタリングステーション並びに外
部ロボットは新規ではなくそして本発明の一部を形成しない。ここでは、スライ
スは所望の角度に配向されそしてその幾何学的中心の位置がその後の正確なつか
みを許容するように計算される。次いでスライスは、石英ディスクの頂部のパー
ジング室の内側に配置され、この石英ディスクは支持体として働きそして該外部
ロボットの商業的つかみ用具による取り扱いを許容するような形状である。石英
ディスクは取り出し期間中スライスの熱をより良好に消散させるようにスライス
に比べて相対的に大きな質量を有する。 すべての痕跡量の空気を完全に除去するために、アクセスドアを閉じそしてパ
ージング室を不活性ガスで洗浄し、そして必要ならば、該パージング操作を促進
するために真空抜き取りサイクルにより洗浄を支援することができる。この点で
、本発明に従う内部ロボットが操作する室へのアクセスを与えるドアを開き、そ
の際該室は常に不活性ガス雰囲気中で操作され、そして保守操作中以外は、決し
て空気に暴露されない。 内部ロボットのつかみ用具又は手は本発明の一部を形成し、本発明はスライス
をそれらの縁による極めて限定された接触によって適当に取り扱うことを可能と
する。実際、各スライスは約1mmを越えて延びている面取りされた部分又は縁
を有する。スライスの縁と接触するつかみ用具の部分は約1mmを越えて延びて
いる縁の基部面取り部(sole chamfer)に接触ゾーンを限定するよ
うな形状であり、そして石英の如き適当な材料から作られる。しかしながら、ス
ライスのこの部分は集積回路の製造には有用ではなく、従ってこの解決法は最終
製品の品質に対して損傷を与えない。更に、つかみ用具又は手は2つの機能、即
ち、 第1は反応室の内側のサセプタの位置に達するようにロボットロアームを延ば
す機能であり、 第2は該スライスの上昇を伴う操作期間中に用具又は手と使用されるスライス
とのある程度の自己水平化(self−levelling)を、関節接合(a
rticulation)により又はアームの柔軟性を利用することにより達成
する機能である、 有する構造的管によって内部ロボットのアームに接続されている。 スライスの上昇は専用の空気式機械により得られる或る真空により保証される
。真空は一連の穴によってスライスに伝達され、該一連の穴はつかみ用具の周に
沿って分布しておりそしてスライスの周囲のゾーン(round zone)に
集中しており、そして、各スライスの同定又は配向のために使用される平坦なゾ
ーン又は凹んだノッチゾーンには存在せず、その場合に150mmまでの直径を
有するスライスでは平坦なゾーンが好ましく、これに対してより大きい直径のス
ライスの場合には、凹んだノッチゾーンが好ましい。スライスの形状におけるす
べての不規則性は真空の効果の局部的減少を引き起こすので、本発明に従えば、
つかみ用具における適当に計算された濃度の吸引穴によりこの減少を相殺するこ
とが必要である。 供給期間中、サセプタは回転しそしてそれ自体知られている基準システムによ
って供給されるべきキャビテイを正確に位置決めする。この位置決めはそれ自体
既知の光学システムによって行うことができる。次いで、スライスは反応室に導
入されそしてサセプタの適当なキャビテイの上に位置づけられる。内部ロボット
は僅かに下向きに移動し、スライスをキャビテイと接触させ、そして真空が除去
されるとき、該キャビテイ上に供給されるスライスを放出する。供給段階はサセ
プタに存在するすべてのキャビテイが占拠されるまで繰り返される。次いでスラ
イスのスケジュール化された処理が開始される。 すべての光学システムの中から、レーザーエミッターと問題のサセプタとの間
の距離を測定して、該距離に比例したアナログ信号を発生するレーザーテレメー
ターシステムを使用することが可能である。例えば、レーザーテレメーターは、
走査アルゴリズムによるサセプタの平行な位置決め及びそれ自体知られている機
械的手段(例えばマイクロメーターねじ)によるその後の訂正における欠陥を排
除するのを助ける。この平行な位置決めはスライス上へのエピタキシャルデポジ
ッションの均一性を保証するのに必須である。 スライスのスケジュール化された処理が終わると、サセプタからスライスを取
り出すことが必要である。これを行うために、サセプタが反応室からのスライス
の損傷なしの抜き出しを許容する適当な温度に達するのを待った後、該内部ロボ
ットを再び使用することが必要であり、該内部ロボットは各スライスをサセプタ
の対応するキャビテイから取り出し、そしてそれをパージング室の内側に輸送し
、そこでスライスは石英ディスク上に置かれ、石英ディスクはその大きな質量に
よりスライスを冷却する。十分な冷却の後、スライスは反応器の第1ゾーンに配
置されたカセットの1つの座に外部ロボットにより移送される。 上記した方法を実施する本発明は、 反応室と、 半導体材料の基板又はスライスを取り扱うための内部ロボットと ライスを清浄化雰囲気に通すためのパージング室と、 半導体材料のスライスを積み重ねられた配列で収容するカセットを含む貯蔵ゾ
ーンと、 スライスを該貯蔵ゾーンからパージング室に移送するための外部ロボット、 を具備し、 該内部ロボットはつかみ手段をその外側端部に有する関節で接合されたアーム を収容するシールされた室を含んでなる、 半導体材料のスライスの如きエピタキシャル装置又は反応器において製造される
材料の基板を取り扱うための装置であって、 内部ロボットのつかみ手段は少なくとも1つのアームを具備し、該アームは、
反応室に挿入することができ、そしてパージング室から半導体材料のスライスを
取り出しそしてそれをシールされた室に通した後反応室のディスク形状サセプタ
凹んだ座に置かれるように輸送しそして逆に凹んだ座からパージング室に輸送
するためのつかみ用具又は手(hand)で終わっており、該手はスライスに
ゾーン又は面取りされた縁に沿ってその最上部表面において接触するように設計 されており 、そして該手は真空効果によりスライスをつかむようになっており
そして、つかみ手段は、凹んだ座に基板を置く場合には、基板は凹んだ座の面に 対して傾斜して保たれて、最初につかみ手段のアームから最も遠くに位置した スライス縁でのみ凹んだ座に接触し、その後該座に接触している該縁のまわりに 回動して該座と完全に接触し 、そして逆にスライスを反応室からパージング室に
輸送する場合には、該手の真空効果によりつかまれたスライスは、最初につかみ 手段のアームに最も近いスライスの側を上昇させ、次いで基板を完全に取り外 し、そして凹んだ座の面に対して傾斜して保持されながら基板を更に上昇させる ことによって、凹んだ座から取り外されるような方式で、つかみ手段を該関節で 接合されたアームに回動自在に取り付けるための手段を含む ことを特徴とする装
置にある。 特にアームは管状且つ中空であり、一側で柔軟性管によって真空源に接続され
ており、そして他の側で手の内側に形成された円形に接続されて、該手の底部
側と該手の下に存在するスライスとの間に真空を加えるようになっている。 好ましくは、関節接合手段(articulation means)が中空
管状アームと内部ロボットの関節で接合されたアームとの間に配置され、該手段
は中空管状アームを昇降させて、該手を関節で接合されたアームにより規定され
た面より上及び下に運ぶようになっている。 更に、関節接合手段が中空管状アームと内部ロボットの関節で接合されたアー
ムとの間に配置されており、該手段は中空管状アームのその長手方向軸線のまわ
りの回転も可能とする。 好ましくは、関節接合手段は、関節で接合されたアームに固定されている支持
ベアリングを含んでなり、そして関節接合手段がそのまわりに回転する回転ピン
と、関節で接合されたアームの面に対する該手の上昇及び降下の許容された高さ
を固定するための調節ネジを担持し、それにより該手はディスク形状サセプタ上
に半径方向において前部でのみ、後部でのみ又は完全に該サセプタと水平で(l
evel)載ることができる。 更に、関節接合手段は、中空管状アームのまわりに、ディスク形状サセプタの
半径に垂直な方向における該手の整合を可能とするための抗摩擦ブッシユも具備
する。 更に好ましくは、該手は取り扱われるべきスライスの直径より大きい直径を有
するディスクの形態にあり、そして該スライスの外周リムとのみ係合する凹んだ
座(recessed seat)を備えた、スライスに面している底部部品(
bottom part)を有する 好ましくは、座は手の底部部品に存在し、そしてスライスと手との間に真空を
加えるように中空管状アームに接続されている該手の内側の室に接続された複数
の周穴(peripheral holes)を備えている。 最も好ましくは、周穴は主として真空の最も大きい損失が想像されるところに
集中している。 特定の態様では、レーザーテレメーターを使用してレーザーエミッターと問題
の反応室のディスク形状サセプタとの間の距離を測定して、該距離に比例したア
ナログ信号を発生し、該テレメーターはサセプタの水平配列(level ar
rangement)の欠陥及び反応室に対するサセプタの平行な位置決めの欠
陥を検出する。 更に、サセプタの外側リムに形成されたノッチは角度基準点(angular
reference point)として使用され、角度基準点はレーザーテ
レメーターにより検出することができ、そしてスライスのための凹んだ座はこの
ノッチから出発しているとみなされる。 本発明に従えば、上記した装置の手によって反応室に存在するディスク形状サ
セプタの凹んだ座にスライスを配置するための方法であって、真空によって該手
に付着させられるスライスは、上昇した位置で反応室に入り、ディスク形状サセ
プタの凹んだ座の1つの上に輸送され、該座上に配置されるように降下させられ
、その際最初はつかみ手段の該アームから最も遠くに位置したスライス縁でのみ 凹んだ座に 接触するように前方に傾斜しており、次いでその全体の表面と接触す
るようにされ、次いでスライスを手に付着させて保つ真空の除去の後、更に降下
させられて手をスライスから取り外し、次いで手は再び上昇させられてスライス
から完全に取り外され、そして最後に後退させられて反応室を去ることを特徴と
する方法も提供される。 別法として、上記した装置の手によって反応室に存在するディスク形状サセプ
タの凹んだ座からスライスを取り出すための方法は、該手が、上昇した位置で反
応室に入り、ディスク形状サセプタの凹んだ座の1つに収容されたスライスの上
に輸送され、最初につかみ手段の該アームから最も遠くに位置した縁で、次いで
スライスの全体の周にわたり、該手がスライスに接触するまで降下させられ、次
いで全周にわたりスライスに接触した後、真空を加えてスライスをその縁で手に
付着させ、次いで再び上向きに移動し初めて、最初はつかみ手段の該アームに最 も近くに位置した縁で 、次いでスライスの全体の表面にわたり、スライスを凹ん
だ座から取り外し、そして最後にスライスがサセプタの凹んだ座から完全に上昇
した後、スライスを反応室の外側に輸送することを特徴とする。 本発明の特徴はその最初の部分の特許請求の範囲に記載されている。しかしな
がら、他の特徴及び利点が非限定的例として与えられる本発明の態様の例の下記
の詳細な説明から明らかになるであろう。 半導体材料のスライス24a-eを該スライス24a-eを受け入れるための寸法を
有するキャビテイ28a-eを備えたディスク形状サセプタ26上に置くため及び
/又はディスク形状サセプタ26から取り出すためのいくつかのロボットにより
作動される反応室22を含んでなるエピタキシャル反応器20を示すすべての図
1を考察しよう。 スライス24a-eは手動で操作されることなく反応室22に存在するディスク
26のキャビテイ28a-e内に配置されそしてキャビテイ28a-eから取り出され
なければならないので、この目的で、いわゆる内部ロボット30が設けられてお
り、該ロボット30は本発明の主題を形成するつかみ用具又は手70で終わる管
状アーム64を具備し、そしていわゆる外部ロボット32が設けられている。内
部ロボット30は反応室22とパージング室34との間に位置したままであり、
これらはスライスのための第1ゾーン又は貯蔵ゾーン36と内部ロボット30と
の間を進む及び逆に内部ロボット30から第1貯蔵ゾーン36へと進むスライス
24a-eを処理する機能を有する。 貯蔵ゾーン36は2つのカセット38及び40を備えており、該カセットは、
反応室22において処理を受けるべき半導体材料のスライスを入れる機能又は反
応室22で処理を受けた半導体材料のスライスを入れる機能を有する。更に、貯
蔵ゾーン36は真空型のつかみ手段44で終わる関節で接合されたアーム42を
含み、該つかみ手段44はカセット38からパージング室34への及び逆にパー
ジング室34からカセット40へのスライス24の輸送を保証する機能を有して
いる。関節で接合されたアーム42はそれ自体既知である。 パージング室34はギロチンドアの如き迅速作用型の第1の密封シールされた
(hermetically sealed)ドア50と、ドア50と同じ型の
第2の密封シールされたドア52と、該パージング室34に進むスライス24を
支持するためのディスク54を具備する。好ましくは、ディスク54は良好な耐
摩耗性及び実質的にゼロの汚染を保証するように石英から作られそして、スライ
ス24を置き又は取り出すためにパージング室34につかみ手段44が入るとき
つかみ手段44を受け入れるための凹部55を備えている。ディスク54は、冷
却段階においてスライスの熱をより良好に消散させるために、スライスと比較し
て相対的に大きい質量を有する。パージング室34は、スライス24が、空気又
は窒素の如き他の簡単な不活性ガスであることができる貯蔵ゾーン36の実質的
にダストのない雰囲気から主として水素からなる反応室22の雰囲気に進むため
及び逆に本質的に水素からなる反応室22の雰囲気から貯蔵ゾーン26の雰囲気
に進むための準備をする機能を有する。何故ならば、水素と反応するガスを反応
室に導入すること及び水素及びCVD操作期間中反応室で形成されそして例えば
HClの如き毒性又は刺激性のものでありうるガスを大気に放出することを回避
することが望ましいからである。 内部ロボット30は、パージング室34と連通している密封シールされたドア
52の外に、反応室22と連通している他の密封シールされたドア57も備えた
シールされた室56内に入れられており、そして関節で接合されたアーム58を
具備し、該アームはその外側端部につかみ及び輸送手段60を有し、これは図2
〜4で詳細に説明されそしてスライス24をパージング室34から反応室22に
及びその逆に輸送する機能を有する。 図2〜4を考察すると、本発明に従うつかみ及び輸送手段60は、関節で接合
されたアーム58に接続されている関節接合手段62、真空源として空気圧機械
(示されていない)に接続されている柔軟性管68(図1で見られる)への接続
のための接続ピース66を備えた管状アーム64からなる。管状アーム64は関
節接合手段62から遠いその端部でつかみ用具又は手70に接続されており、該
つかみ用具又は手70はパージング室34に存在するスライス支持ディスク54
からディスク形状サセプタ26への及び逆にサセプタ26から支持ディスク24
へのスライス24の輸送期間中スライス24を保持する機能を有する。 手70は円形リムの形態にありそして互いに結合された2つの部品72及び7
4により形成される。頂部部品72は管状アーム64に接続されたクランプ78
に取り付ける(secure)ためのテールピース76を備えており、そして底
部部品74のテールピース80と一緒になって管状アーム64と連通しているダ
クト82を形成する。底部部品74は円形キャビテイ84を備え、円形キャビテ
イ84はダクト82と連通しておりそしてスライス24の方に向けられたその側
を通る小さな穴86a-mと連通して入る。更に、この底部部品74は、スライス
に対してなされるべき処理のための有用な機能を有していないとみなされるスラ
イス24の限定された縁部分25とのみ接触する。 つかみ手段60がサセプタディスク26のキャビテイ28の内側に半導体スラ
イス24を置く手順を示す図5〜8を考察しよう。図2及び3に十分に示された
とおり、つかみ手段60は、作動時に真空源と連通している柔軟性管68に、管
状アーム64及び接続ピース66により接続されている手70により形成される
。 図5に示されたとおり、つかみ手段60は反応室72に入り、手70に付着し
ている半導体スライス24を輸送し、そこでスライス24の手70への付着は接
続ピース66に加えられた真空(記号的には矢印90により示される)により保
証される。反応室22への入りは関節で接合されたアーム58の前方移動を示す
第1の肉太矢印92により記号的に示される。次いで、つかみ手段60は該関節
で接合されたアーム58の下向き移動を示す第2の肉太矢印94により記号的に
示されたように、ディスク形状サセプタ26の方に向けて下向きに移動し始める
。 関節で接合されたアーム58が手70により保持されたスライス24がディス
ク形状サセプタ26上のキャビテイ28に接触しないように持ち上げられている
かぎりは、手70及びスライス24の重量は、関節接合手段62が、ピボットピ
ン98のまわりに回転しそして調節ねじ100により許容された点まで降下しな
がら、アーム58の端部に存在するパッド96上に載ることを引き起こす。アー
ム58が、図6に見られるようにスライス24の端部がサセプタ26の内側の方
に位置したキャビテイ28に接触する点まで下向きに移動すると、手70の下向
き移動は止まり、そして図7に見られるとおり、スライス24がキャビテイ28
上に完全に載るまでねじ100の上昇(raising)の開始と共につかみ手
段60はサセプタ26に次第に平行に配向される。この点で、矢印90により表
された真空が除去されて、スライス24を手70から外させる。その間に、スラ
イス24が手70から外される前に、セラミック材料又はテフロンの如き抗摩擦
材料から作られた2つのブッシュ63及び65は、図3に示された円形矢印67
の方向に管状アーム64を回転させて、キャビテイ28の内側のスライス24の
完全な水平化を許容し、それによりつかみ及び輸送手段60に本発明の一部を形
成する自己水平化の特徴を与える。スライス24がキャビテイ28により十分に
支持されそしてキャビテイ28の内側に水平化し、そして真空90の不存在が該
スライスからの手70の分離を引き起こすと、関節で接合されたアーム58の更
なる下向き移動は、図8に示され得るとおり、スライス24の内側からの手70
の上昇をもたらす。 図9〜12を検討すると、手70がスライス24からいかにして取り外されて
、スライス24をサセプタ26のキャビテイ28の内側に完全に放出すること、
ができるかがわかる。実際、図9において、真空90の該不存在は手70をスラ
イス24から実質的に取り外して保ちながら、アーム58は、手70が、手に加
えられる真空90の不存在のためスライスから外れたままで、図10に見られる
とおりスライス24上に完全に載るまで、肉太矢印104の方向に上向きに移動
し始める。矢印104の方向における関節で接合されたアーム58のその後の上
昇は図11にみられるとおり外側における手70の上昇を引き起こす。最後に、
アーム58の更なる上昇はスライス24から手70を完全に取り外しそして上昇
させ、そして矢印106の方向のアーム58の後退移動はつかみ及び輸送手段6
0を反応室22(図1参照)の外側に運ぶ。 図13〜16はつかみ手段60がサセプタ26のキャビテイ内に着座したスラ
イス24上の手70の位置決めを引き起こす手順を示し、そして図17〜20は
サセプタ26のキャビテイ28からのスライス24の上昇及び取り出しを示す。 特に図13〜16を参照すると、関節で接合されたアーム58は、矢印92に
より示された前方移動により、手70をスライス24と整合させ、これに対して
矢印94により示された降下移動により、手をスライスに向かって移動させるこ
とがわかる。図14から分かるとおり、アーム58の更なる降下は手70を最初
にスライス24の内側と接触させ、そして最後に、図15に見られるとおり、ス
ライス全体と接触させる。ブッシュ63及び65は、管状アーム64の軸線のま
わりの回転を許容することにより、手70のスライス24との完全な自己整合(
self−alignment)も許容する。アーム58は図16に示されたと
おり、更に少し下向きに移動することができ、手70のスライス24の内側から
の確実な取り外しを引き起こすが、これは重要ではない。 図17を参照すると、矢印90により示された真空を管状アーム64に加えて
、最初にスライス24の外側を手70に付着させながら、矢印104により示さ
れたとおり、いかにして関節で接合されたアーム58が再び上向きに移動し始め
るかをみることができる。次いで、図18に示されたとおり、関節で接合された
アーム58の更なる上昇は、真空90がスライス24を手70にくっつけながら
(attached)、手70をスライス24に完全に付着させる(adher
ed)。アーム58のその後の更なる上昇は、ねじ100がパッド96上に載る
まで関節接合手段62のそのピボットピン98のまわりの回転を引き起こし、図
19に見られるとおり、スライス24の外側をサセプタ26のキャビテイ28か
ら取り外させ、それによりキャビテイ28からのスライス24のいかなる強制の
分離(forced separation)(スライス24をキャビテイ28
に平行に保ってスライス24を取り外すことを試みた場合に起こり得るのだが)
も回避する。これは手70により加えられた過剰の力によるスライス24に対し
て起こり得る損傷を回避し、そしてキャビテイ28からのスライス24の突然の
放出による該管状アーム64の起こり得る振動を回避する。最後に、図20に見
られるとおり、矢印104の方向のアーム58の最終的上昇はスライス24をキ
ャビテイ28から完全に取り外し、その間矢印106の方向のアーム28の後退
移動は、手70を反応室22(図1参照)の外側にスライス24と共に運ぶ。 スライス24の反りから生じる過剰の変形を回避し及び/又は手70により該
スライスが輸送されるとき小さな整合の誤差を相殺するために、手70により加
えられる真空を調節するための手段が設けられる。特に、手70がスライス24
と係合しはじめる間に真空を最大値に保持しなければならないが、次いで手70
のスライス24との係合が完了したとき予め設定された値に調節される。 上記の説明は、本発明をいかなる方法においても限定するものと見なされるべ
きではない本発明の態様の例を例示するものであり、本発明の包含される範囲は
特許請求の範囲により規定されるであろう。従って、上記した説明を読んだ後当
業者が行うことができるすべてのこれらの論理的に均等な解決策は本発明に包含
されるものとみなさなければならない。
【図面の簡単な説明】
【図1】 外部ロボットと本発明に従う内部ロボットにより作用されるディスク形状エピ
タキシャル反応器の断面平面図である。
【図2】 本発明に従う内部ロボットの延びることができるアームの端部に位置したつか
み用具又は手の断面切頭側面図である。
【図3】 該つかみ用具又は手の断面切頭平面図である。
【図4】 手が輸送されるべき半導体材料のスライスといかに係合するかを示すことを意
図した図2の円で囲まれた手の詳細の部分断面拡大図である。
【図5〜8】 サセプタのキャビテイにスライスを供給するように半導体スライスを担持する
内部ロボットのつかみ手段の、サセプタのキャビテイの方に向けてのアプローチ
シーケンスを示す断面切頭側面図である。
【図9〜12】 サセプタのキャビテイに供給されたスライスからつかみ手段が取り外されるシ
ーケンスを示す断面切頭側面図である。
【図13〜16】 半導体スライスを取り出すために、サセプタのキャビテイに存在する該半導体
スライスの方に向けてのロボットのつかみ手段のアプローチシーケンスを示す断
面切頭側面図である。
【図17〜20】 半導体スライスがサセプタのキャビテイから取り外されそして取り出されるシ
ーケンスを示す断面切頭側面図である。
───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.7 識別記号 FI テーマコート゛(参考) H01L 21/68 H01L 21/68 B Fターム(参考) 3C007 AS03 AS24 BS15 BT05 DS01 FS01 FT12 KS20 KS36 KV11 LT06 LT11 NS09 NS13 4G077 AA03 DB01 EG13 5F031 CA02 FA01 FA07 FA12 FA18 GA02 GA08 GA15 GA45 GA47 GA48 GA49 HA01 KA10 MA28 PA04 5F045 AA03 AC03 BB10 DP15 EB02 EB08 EN04 EN06

Claims (15)

    【特許請求の範囲】
  1. 【請求項1】 反応室(22)と、 半導体材料の基板又はスライス(24)を取り扱うための内部ロボット(30
    )と、 スライス(24)を清浄化雰囲気に通すためのパージング室(34)と、 半導体材料のスライス(24)を積み重ねられた配列で収容するカセット(3
    8、40)を含む貯蔵ゾーン(36)と、 スライス(24)を貯蔵ゾーン(36)から内部ロボット(30)に輸送する
    ための外部ロボット(32)とを具備し、 内部ロボット(30)はつかみ手段(60)をその外側端部に有する関節で接
    合されたアーム(58)を収容するシールされた室(56)を含んでなる、 半導体材料のスライスの如きエピタキシャル装置又は反応器において製造される
    材料の基板を取り扱うための装置において、 内部ロボット(30)のつかみ手段(60)は少なくとも1つのアーム(64
    )を具備し、該アームは反応室(22)に挿入することができ、そして半導体材
    料のスライス(24)をパージング室(34)から取り出しそしてそれをシール
    された室(56)に通した後反応室(22)のディスク形状サセプタ(26)の
    キャビテイ(28)内に置かれるように輸送しそして逆にキャビテイ(28)か
    らパージング室(34)に輸送するためのつかみ用具又は手(70)で終わって
    おり、手(70)は周ゾーン又は面取りされた縁(25)に沿ってスライス(2
    4)に接触し、そして該手は真空効果によりスライス(24)をつかみそしてそ
    れをディスク形状サセプタ(26)上に置き、その際最初は一側で対応するキャ
    ビテイ(28)に接触し、次いでそれと完全に接触し、そして逆にスライス(2
    4)を反応室(22)からパージング室(34)に輸送する場合には、スライス
    (24)を真空効果によってつかみ、それをサセプタ(26)のキャビテイ(2
    8)から取り外し、その際最初に一側でそれを上昇させ次いでそれを完全に取り
    外し、そして最後にそれをパージング室(34)に入れられた支持ディスク(5
    4)の上に置くようになっている、 ことを特徴とする装置。
  2. 【請求項2】 アーム(64)は管状で且つ中空であり、一側で柔軟性管(
    68)によって真空源に接続されており、そして他の側で手(70)の内側に形
    成された円形キャビテイ(84)に接続されて、手(70)の底部側と手(70
    )の下に存在するスライス(24)との間に真空を加えるようになっていること
    を特徴とする請求項1に記載の基板を取り扱うための装置。
  3. 【請求項3】 関節接合手段(62)が中空管状アーム(64)と内部ロボ
    ット(30)の関節で接合されたアーム(58)との間に配置され、該手段は中
    空管状アーム(64)を昇降させて、手(70)を関節で接合されたアーム(5
    8)により規定された面より上及び下に運ぶようになっていることを特徴とする
    請求項2に記載の基板を取り扱うための装置。
  4. 【請求項4】 関節接合手段(62)が中空管状アーム(64)と内部ロボ
    ット(30)の関節で接合されたアーム(58)との間に配置されており、該手
    段は中空管状アーム(64)のその長手方向軸線のまわりの回転も可能とするこ
    とを特徴とする請求項3に記載の基板を取り扱うための装置。
  5. 【請求項5】 関節接合手段(62)は関節で接合されたアーム(58)に
    固定されている支持ベアリング(96)を含んでなり、そして関節接合手段(6
    2)がそのまわりに回転する回転ピン(98)と、関節で接合されたアーム(5
    8)の面に対して手(70)の上昇及び降下のための許容された高さを固定する
    ための調節ネジ(100)を担持し、それにより該手はディスク形状サセプタ(
    26)上に半径方向において前部でのみ、後部でのみ又は該サセプタ(26)と
    完全に水平で載ることができることを特徴とする請求項4に記載の基板を取り扱
    うための装置。
  6. 【請求項6】 関節接合手段(62)は、中空管状アーム(64)のまわり
    に、ディスク形状サセプタ(26)の半径に垂直な方向における該手(70)の
    整合を可能とするための抗摩擦ブッシユ(63、65)も具備することを特徴と
    する請求項5に記載の基板を取り扱うための装置。
  7. 【請求項7】 手(70)は取り扱われるべきスライス(24)の直径より
    大きい直径を有するディスクの形態にあり、そして該スライス(24)の外周リ
    ム(25)とのみ係合する凹んだ座を備えた、スライス(24)に面している底
    部部品(74)を有することを特徴とする請求項6に記載の基板を取り扱うため
    の装置。
  8. 【請求項8】 スライス(24)の周リム(25)は上部表面を該スライス
    の側部表面に接続する面取りされた領域として形成されていることを特徴とする
    請求項7に記載の基板を取り扱うための装置。
  9. 【請求項9】 該座は手(70)の底部部品(74)に存在し、そしてスラ
    イス(24)と手(70)との間に真空を加えるように中空管状アーム(64)
    に接続されている手(70)の内側の室(84)に接続された複数の周穴(86
    )を備えていることを特徴とする請求項7に記載の基板を取り扱うための装置。
  10. 【請求項10】 周穴(86)は主として真空の最も大きい損失が想像され
    るところに集中していることを特徴とする請求項9に記載の基板を取り扱うため
    の装置。
  11. 【請求項11】 レーザーテレメーターを使用してレーザーエミッターと問
    題の反応室(22)のディスク形状サセプタ(26)との間の距離を測定して、
    該距離に比例したアナログ信号を発生させ、該テレメーターはサセプタ(26)
    の水平配列の欠陥及び反応室(22)に対するサセプタ(26)の平行な位置決
    めの欠陥を検出することを特徴とする前記請求項に記載の基板を取り扱うための
    装置。
  12. 【請求項12】 サセプタ(26)の外側リムに形成されたノッチは角度基
    準点として使用され、角度基準点はレーザーテレメーターにより検出することが
    でき、そしてスライス(24)のためのキャビテイ(28)はこのノッチから出
    発しているとみなされることを特徴とする請求項11に記載の基板を取り扱うた
    めの装置。
  13. 【請求項13】 前記請求項に記載の装置の手(70)によって反応室(2
    2)に存在するディスク形状サセプタ(26)の座(28)にスライス(24)
    を配置するための方法であって、真空によって手(70)に付着させられるスラ
    イス(24)は、上昇した位置で反応室(22)に入り、ディスク形状サセプタ
    (26)のキャビテイ(28)の1つの上に輸送され、該キャビテイ(28)上
    に配置されるように降下させられ、その際キャビテイ(28)と最初に半径方向
    内側の点で接触するように前方に傾斜しており次いでその全体の表面と接触し、
    次いでスライス(24)を手(70)に付着させて保つ真空の除去の後、再び降
    下させられて手(70)をスライス(24)から取り外し、次いで手(70)は
    再び上昇させられてスライス(24)から完全に取り外され、そして最後に後退
    させられて反応室(22)を去ることを特徴とする方法。
  14. 【請求項14】 手(70)が、上昇した位置で反応室(22)に入り、デ
    ィスク形状サセプタ(26)のキャビテイ(28)の1つに収容されたスライス
    (24)の上に輸送され、最初に半径方向内側の点で次いでスライス(24)の
    全周にわたり手がスライス(24)に接触するまで降下させられ、次いで全周に
    わたりスライスに接触した後、真空を加えてスライス(24)をその縁(25)
    で手(70)に付着させ、次いで再び上向きに移動し初めて、最初に半径方向外
    側の点で、次いでスライス(24)の全表面にわたりスライス(24)をキャビ
    テイ(28)から取り外し、そして最後にスライス(24)がサセプタ(26)
    のキャビテイ(28)から完全に上昇した後、スライスを反応室(22)の外側
    に輸送することを特徴とする請求項1〜12に記載の装置の手(70)によって
    反応室に存在するディスク形状サセプタ(26)の座(28)からスライス(2
    4)を取り出すための方法。
  15. 【請求項15】 反りによるスライス(24)の過度の変形を回避するため
    に、手(70)に真空を加え、該真空は、スライス(24)が手(70)と完全
    に接触していない時、該手(70)によるスライス(24)の係合の開始時に最
    大であるが、その後真空を調節するための手段が該真空を、スライス(24)の
    実質的な変形を引き起こすことなくスライス(24)と手(70)との付着を維
    持するのに十分な最小値に減少させることを特徴とする請求項13及び14に記
    載の方法。
JP2000599065A 1999-02-12 1999-12-03 平坦なディスク形状サセプタを有するエピタキシャル誘導反応器における自己水平化真空システムにより基板を取り扱うための装置及びその操作方法 Pending JP2002536201A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
IT1999MI000281A IT1308606B1 (it) 1999-02-12 1999-02-12 Dispositivo per maneggiare substrati mediante un istema autolivellante a depressione in reattori epistassiali ad induzione con suscettore
PCT/EP1999/009638 WO2000048234A1 (en) 1999-02-12 1999-12-03 Device and method for handling substrates by means of a self-levelling vacuum system in epitaxial induction reactors
IT99A000281 1999-12-07

Publications (1)

Publication Number Publication Date
JP2002536201A true JP2002536201A (ja) 2002-10-29

Family

ID=11381870

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000599065A Pending JP2002536201A (ja) 1999-02-12 1999-12-03 平坦なディスク形状サセプタを有するエピタキシャル誘導反応器における自己水平化真空システムにより基板を取り扱うための装置及びその操作方法

Country Status (10)

Country Link
US (1) US6648974B1 (ja)
EP (1) EP1224691B1 (ja)
JP (1) JP2002536201A (ja)
KR (1) KR100623170B1 (ja)
CN (1) CN1155053C (ja)
AT (1) ATE290253T1 (ja)
DE (1) DE69924040T8 (ja)
HK (1) HK1042165A1 (ja)
IT (1) IT1308606B1 (ja)
WO (1) WO2000048234A1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2007097147A1 (ja) * 2006-02-20 2007-08-30 Lintec Corporation 搬送装置及び搬送方法
JP2017522723A (ja) * 2014-07-03 2017-08-10 エルピーイー ソシエタ ペル アチオニ 基板を操作するためのツール、操作方法およびエピタキシャル反応器

Families Citing this family (373)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
ITMI20012014A1 (it) 2001-09-27 2003-03-27 Lpe Spa Utensile per maneggiare fette e stazione per crescita epitassiale
KR100487427B1 (ko) * 2001-11-06 2005-05-03 엘지.필립스 엘시디 주식회사 액정표시장치 제조용 스퍼터
KR100441875B1 (ko) * 2003-06-02 2004-07-27 주성엔지니어링(주) 분리형 이송 챔버
JP2008542037A (ja) * 2005-05-26 2008-11-27 エルピーイー ソシエタ ペル アチオニ ウェーハ操作用真空システム
KR101074186B1 (ko) * 2006-04-07 2011-10-14 어플라이드 머티어리얼스, 인코포레이티드 에피택셜 필름 형성을 위한 클러스터 툴
US20080003092A1 (en) * 2006-06-30 2008-01-03 Petar Baclija Rotary union connection
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
DE102007041332A1 (de) 2007-08-31 2009-03-05 Siemens Ag Transferchuck zur Übertragung, insbesondere von Wafern
US10041169B2 (en) * 2008-05-27 2018-08-07 Picosun Oy System and method for loading a substrate holder carrying a batch of vertically placed substrates into an atomic layer deposition reactor
US8282334B2 (en) 2008-08-01 2012-10-09 Picosun Oy Atomic layer deposition apparatus and loading methods
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
DE202009002523U1 (de) 2009-02-24 2010-07-15 Kuka Systems Gmbh Handhabungseinrichtung
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
CN102212877B (zh) * 2010-07-09 2012-08-22 江苏中晟半导体设备有限公司 具有多个外延反应腔的mocvd系统及其操作方法
CN102330147B (zh) * 2010-07-14 2015-11-25 郭志凯 一种硅片生产外延设备及其系统
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US8613474B2 (en) * 2011-07-06 2013-12-24 Tel Nexx, Inc. Substrate loader and unloader having a Bernoulli support
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
KR101271246B1 (ko) * 2011-08-02 2013-06-07 주식회사 유진테크 에피택셜 공정을 위한 반도체 제조설비
WO2013040330A1 (en) 2011-09-14 2013-03-21 Brooks Automation, Inc. Load station
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) * 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
CN104425331B (zh) * 2013-09-09 2017-09-29 北京北方微电子基地设备工艺研究中心有限责任公司 转盘定位装置、装载传输系统及等离子体加工设备
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR101666786B1 (ko) * 2014-11-21 2016-10-17 (주) 씨앤아이테크놀로지 반도체 패키지의 전자파 차폐막 형성을 위한 점착패드 제조장치 및 이를 이용한 점착패드 제조방법
CN105632972B (zh) * 2014-12-01 2019-02-19 北京北方华创微电子装备有限公司 反应腔室
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
CN105619406B (zh) * 2015-12-31 2017-10-17 北京七星华创电子股份有限公司 多指机械手片叉的校准方法
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210084058A (ko) * 2019-12-27 2021-07-07 삼성전자주식회사 기판 이송 장치 및 이를 이용한 기판 이송 시스템
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6365640A (ja) * 1986-09-05 1988-03-24 Kokusai Electric Co Ltd ウエハの着脱方法及び装置

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1068514A (en) * 1963-05-03 1967-05-10 Headley Townsend Backhouse Improvements in or relating to suction grippers
US4129328A (en) * 1977-06-20 1978-12-12 Littell Edmund R Plate handling apparatus with load deflection compensation
US4433951A (en) * 1981-02-13 1984-02-28 Lam Research Corporation Modular loadlock
US4588343A (en) * 1984-05-18 1986-05-13 Varian Associates, Inc. Workpiece lifting and holding apparatus
US4915564A (en) * 1986-04-04 1990-04-10 Materials Research Corporation Method and apparatus for handling and processing wafer-like materials
JPS6387831U (ja) * 1986-11-26 1988-06-08
US4828224A (en) * 1987-10-15 1989-05-09 Epsilon Technology, Inc. Chemical vapor deposition system
US5843233A (en) * 1990-07-16 1998-12-01 Novellus Systems, Inc. Exclusion guard and gas-based substrate protection for chemical vapor deposition apparatus
US5172922A (en) * 1991-04-25 1992-12-22 Digital Equipment Corporation Self aligning vacuum nozzle
US5766360A (en) * 1992-03-27 1998-06-16 Kabushiki Kaisha Toshiba Substrate processing apparatus and substrate processing method
US5626675A (en) * 1993-11-18 1997-05-06 Tokyo Electron Limited Resist processing apparatus, substrate processing apparatus and method of transferring a processed article
KR100310249B1 (ko) * 1995-08-05 2001-12-17 엔도 마코토 기판처리장치
US5915915A (en) * 1996-03-07 1999-06-29 Komag, Incorporated End effector and method for loading and unloading disks at a processing station
JP2772283B2 (ja) * 1996-07-16 1998-07-02 山形日本電気株式会社 真空吸着ピンセットおよびその吸着方法
US5961169A (en) * 1998-07-27 1999-10-05 Strasbaugh Apparatus for sensing the presence of a wafer
US6517130B1 (en) * 2000-03-14 2003-02-11 Applied Materials, Inc. Self positioning vacuum chuck

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6365640A (ja) * 1986-09-05 1988-03-24 Kokusai Electric Co Ltd ウエハの着脱方法及び装置

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2007097147A1 (ja) * 2006-02-20 2007-08-30 Lintec Corporation 搬送装置及び搬送方法
JP2017522723A (ja) * 2014-07-03 2017-08-10 エルピーイー ソシエタ ペル アチオニ 基板を操作するためのツール、操作方法およびエピタキシャル反応器

Also Published As

Publication number Publication date
IT1308606B1 (it) 2002-01-08
KR100623170B1 (ko) 2006-09-18
ATE290253T1 (de) 2005-03-15
HK1042165A1 (zh) 2002-08-02
US6648974B1 (en) 2003-11-18
DE69924040T2 (de) 2006-02-09
EP1224691A1 (en) 2002-07-24
EP1224691B1 (en) 2005-03-02
DE69924040T8 (de) 2006-04-27
WO2000048234A1 (en) 2000-08-17
DE69924040D1 (de) 2005-04-07
CN1334959A (zh) 2002-02-06
ITMI990281A1 (it) 2000-08-12
CN1155053C (zh) 2004-06-23
KR20010110435A (ko) 2001-12-13

Similar Documents

Publication Publication Date Title
JP2002536201A (ja) 平坦なディスク形状サセプタを有するエピタキシャル誘導反応器における自己水平化真空システムにより基板を取り扱うための装置及びその操作方法
JP4358108B2 (ja) コーティング装置における搭載、支持及び取り出しのための一組の器具
JP4575647B2 (ja) 炉内でウェハをバッチ処理するための方法および装置
JP4362224B2 (ja) 半導体処理装置用基板移動装置
US9425077B2 (en) Semiconductor apparatus with transportable edge ring for substrate transport
WO2001018856A1 (fr) Support de tranche
JP4570037B2 (ja) 基板搬送システム
US6245152B1 (en) Method and apparatus for producing epitaxial wafer
KR100189645B1 (ko) 반도체 처리장치, 반도체 웨이퍼의 취급 및 지지방법, 그리고 반도체 웨이퍼의 처리방법
JP2005123583A (ja) 基板ホルダ内に基板支持体をローディングするための方法およびシステム
US4496180A (en) Vacuum handling apparatus
WO1999062107A1 (en) Batch end effector for semiconductor wafer handling
KR20210100184A (ko) 기상 성장 장치
JPS62188336A (ja) サスセプタ上のウエハの自動ロ−デイング及びアンロ−デイング方法及び装置
JP2004527136A (ja) ディスク状の対象物を受容するための装置及び対象物の操作のための操作装置
KR102669814B1 (ko) 기상 성장 장치
US5104276A (en) Robotically loaded epitaxial deposition apparatus
TWI840890B (zh) 一種晶圓傳送裝置、氣相沉積系統及使用方法
KR102632333B1 (ko) 기상 성장 장치 및 이에 이용되는 캐리어
JP7257916B2 (ja) 気相成長装置の基板搬送機構
JP7192756B2 (ja) 気相成長装置及び気相成長方法
JPH07169819A (ja) 基板移載方法
CN117660935A (zh) 一种基座、化学气相沉积装置及处理系统
CN115692276A (zh) 一种晶圆传送装置、气相沉积系统及使用方法
JPH04113622A (ja) 熱処理装置

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20060802

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20060802

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20060807

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20060802

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20061018

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090804

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20100108