KR100623170B1 - 플랫 디스크형 서스셉터를 구비한 에픽택셜 유도 반응기 내에서 셀프-레벨링 진공 시스템에 의해 기판을 핸들링하는 장치 및 그 작동 방법 - Google Patents

플랫 디스크형 서스셉터를 구비한 에픽택셜 유도 반응기 내에서 셀프-레벨링 진공 시스템에 의해 기판을 핸들링하는 장치 및 그 작동 방법 Download PDF

Info

Publication number
KR100623170B1
KR100623170B1 KR1020017010259A KR20017010259A KR100623170B1 KR 100623170 B1 KR100623170 B1 KR 100623170B1 KR 1020017010259 A KR1020017010259 A KR 1020017010259A KR 20017010259 A KR20017010259 A KR 20017010259A KR 100623170 B1 KR100623170 B1 KR 100623170B1
Authority
KR
South Korea
Prior art keywords
slice
hand
arm
vacuum
slices
Prior art date
Application number
KR1020017010259A
Other languages
English (en)
Other versions
KR20010110435A (ko
Inventor
빈센조 오그래리
비토리오 포제티
프레티프랑코
Original Assignee
엘피이 에스피에이
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 엘피이 에스피에이 filed Critical 엘피이 에스피에이
Publication of KR20010110435A publication Critical patent/KR20010110435A/ko
Application granted granted Critical
Publication of KR100623170B1 publication Critical patent/KR100623170B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6838Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping with gripping and holding devices using a vacuum; Bernoulli devices
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/141Associated with semiconductor wafer handling includes means for gripping wafer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/907Continuous processing

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Liquid Deposition Of Substances Of Which Semiconductor Devices Are Composed (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • Apparatus Associated With Microorganisms And Enzymes (AREA)

Abstract

기판에 화학 증착(CVD)을 위한 에피택셜 장치 또는 반응기(20)에 사용되는 기판 핸들링 장치는 내부 로봇(30)을 포함하며, 내부 로봇(30)은 처리될 반도체 슬라이스(24)들을 수용하는 카세트(38, 40)에서 반도체 슬라이스(24) 형태의 기판을 파지하여 이송하는 수단(60)을 구비하며, 파지 및 이송수단(60)은 퍼징 챔버(34)에 위치하며 상기 슬라이스(24)를 수용하는 카세트(35)에서 공급된 슬라이스(24)를 상기 퍼징챔버(34)에서부터 상기 에피택셜 반응기(20)의 반응 챔버(22)로 이동시키는, 더 자세하게로는, 상기 에피택셜 반응기(20)의 반응 챔버(22)에 있는 디스크형 서스셉터(26) 상에 형성된 시트(28a-e)안으로 이송하거나, 이에 반대되는 순서로 다시 상기 퍼징 챔버(34)를 관통하여 반응 챔버(22)에서 상기 카세트(38, 40)로 이송시키는 것을 정밀하게 수행한다.

Description

플랫 디스크형 서스셉터를 구비한 에픽택셜 유도 반응기 내에서 셀프-레벨링 진공 시스템에 의해 기판을 핸들링하는 장치 및 그 작동 방법 { Device for handling substrates by means of a self-levelling vacuum system in epitaxial induction reactors with flat disk-shaped susceptor and method for operation thereof }
본 발명은, 기판에 반도체 물질의 화학증착(chemical vapor deposition;CVD)을 위하여 사용되는 장치에서, 기판, 특히 반도체 슬라이스(slices)를 핸들링하는 장치 및 이 장치의 작동 방법에 관한 것이다. 특히, 본 발명은 에피택셜 반응기에 사용되는 기판을 핸들링하는 장치에 관한 것이며, 특히 기판, 바람직하게는 집적회로용 칩과 같은 반도체 부품(components)의 생산에 사용되는 실리콘 기판에 물질의 화학 증착(CVD)을 행하는 에피택셜 반응기에 관한 것이다.
특히, 본 발명은 「평평한 디스크형 서스셉터(susceptor)를 구비하고 기판에 나란한 가스 흐름을 가지는 에피택셜 반응기」라는 제목하에 1995년 9월 14일 출원된 국제 특허 출원 제 WO 96/10659 에 의해 게시된 것과 같은 에피택셜 반응기에 사용되는 장치에 관한 것이다. 상기 장치 덕택에 상술한 에피택셜 반응기는 "카세트 대 카세트(cassette-to-cassette)" 타입의 반응기가 된다. 즉, 미처리된 기판을 담고 있는 카세트가 반응기의 내부에 위치되면, 프로덕트 로딩 사이클동안에는, 본 발명에는 사용되지 않은 제 1 아암 또는 로봇이 상기 기판들을 적재 래크 혹은 "카세트"로부터 본 발명에 따라 퍼징(purging) 챔버에서 서스셉터로 기판을 운반하기 위한 외부 파지 수단 및 운반 수단을 구비하고 있는 퍼징 챔버 및 제 2 아암으로 운반하도록 하고, 프로덕트 언로딩 사이클동안에는 상기 제 2 아암이 서스셉터로부터 상기 퍼징 챔버로 기판을 운반하고 이에 따라 제 1 로봇이 처리과정을 거친 기판을 퍼징 챔버에서 어느 하나의 카세트로 운반하는 과정으로, 모든 과정이 반응기의 동작을 감독하는 오퍼레이터의 수동 조작의 필요없이 이루어진다.
본발명은 특히 냉벽 CVD 시스템(cold wall CVD systems), 바람직하기로는 클로로실레인 증기 열분해(chlorosilane vapour pyrolysis)를 포함하는 디포지션(deposition)에 의한 반도체 디바이스의 대량생산에 사용되는 기판 혹은 실리콘 슬라이스에 에피택셜 성장을 제공할 수 있는 반응기에 적용될 수 있다.
상업적으로 가장 많이 사용되는 에피택셜 반응기는, a) 한번에 하나의 슬라이스를 처리할 수 있는 싱글-슬라이스 반응기(single-slice reactors), b) 동시에 복수의 기판 또는 슬라이스를 처리할 수 있는 배치-타입 반응기(batch-type reactors)의 두 가지 주요 카테고리로 나뉘어질 수 있다.
상기 반응기에 사용되는 가열 시스템은, 램프-타입(lamp-type) 가열 시스템및 중고주파 유도 가열 시스템(medium or high frequency induction heating systems)의 두 가지 타입으로 분류될 수 있다.
관련 산업계에서 가장 많이 사용되는 배치-타입 반응기는 주로, 프리즘형 또는 절두 피라미드형 서스셉터를 가지는 소위 "배럴" 시스템을 사용하는 것과, 실질적으로 평평한 디스크 형상의 서스셉터를 가지는 "팬케이크(pancake)" 시스템을 사용하는 것의 두 가지 타입이다.
전형적으로, 현재 배치-타입 반응기는 수동 로딩 타입인 반면에, 싱글-슬라이드 반응기는 자동 로딩 타입이다.
자동 로딩 반응기에서, 기판, 또는 슬라이스는, 각각 장점과 단점을 가지는 서로 다른 방식으로 다루어질 수 있다. 기판 핸들링은 반도체 분야에서는 특히 중요하며, 특히, 온도 관련 문제 및 입자 오염으로 초래되는 문제가 있는 에픽택셜 반응기에 있어서는 더욱 그렇다.
일반적으로, 각각의 기판 혹은 슬라이스는 바닥면(후면), 상면(전면)및 측벽(모서리)를 구비한다. 전면과 후면의 치수는 보통 75-300mm 사이이며(400mm 까지의 것도 있음), 모서리의 치수는 1mm보다 작거나 이에 근사한다. 슬라이스의 전면은 화학 반응 과정, 즉, 증착(deposition)이 일어나는 부분이기 대문에 슬라이스의 가장 중요한 부분은 전면이다.
이상과 같은 이유로, 슬라이스의 전면은 핸들링을 위하여 사용되는 어떠한 형태의 도구하고도 접촉되지 않는 것이 중요하다. 이는 어떠한 접촉이든, 그 정도가 아주 미약하다 하더라도 결정격자(crystal lattice)에 결함을 야기하기 때문이다. 로딩과정 중 결함이 발생하게 되면, 이 결함은 이에 잇따르는 가열공정에서 더욱 커지게 되며, 언로딩 과정 중에 유도되는 결함 또한 회피되어야 한다.
기본적으로, 슬라이스의 전면과의 접촉은 우연이라도 절대로 허용되지 않는다. 반면에, 슬라이스의 후면 및 모서리와의 접촉은 어느 정도 허용된다.
그러므로, 슬라이스를 운반하기 위해서 전면(그러나 어떠한 직접접촉도 없이), 후면 혹은 모서리를 통해 작업이 이루어질 가능성이 있다.
도구와 슬라이스가 접촉하지 않고 슬라이스의 전면으로부터 핸들링을 할 수 있는 시스템으로 유일하게 베루누이 효과를 활용하는 시스템이 있다. 상기 시스템은 적절한 파지 도구 (end effector)를 제공함으로써 슬라이스의 전면으로 필터링된 불활성가스를 불어 보내어 슬라이스에 대해 수평방향 끌림효과를 생성, 슬라이스가 자중을 극복하고 부유될 수 있도록 한다.
그러나 특별히 부정적이라고 할 수는 없지만, 지지 기구가 없으므로 마찰이 없이 파지 도구 바로 밑에 슬라이스를 고정해야 하므로 슬라이스에 몇 군데 고정점을 마련해야 하고, 이로 인해 슬라이스의 모서리와 도구의 고정부분간에 우발적 접촉이 발생하곤 한다.
언로딩 과정 중에 슬라이스가 안착되어 있는 캐비티에서 상기 슬라이스를 상승시키기 위해서는 슬라이스의 자중뿐 아니라 캐비티와 상기 슬라이스간에 발생하는 약간의 진공 또한 극복해야 한다. 그러나 이는 베루누이 효과만으로는 극복할 수 없는 문제이기 때문에, 예를 들면 슬라이스 아래의 캐비티 내에 형성된 가느다란 채널의 네트워크에 의하여 진공 형성을 방지할 필요가 있다. 이 기술은 아주 효율적이기는 하나 서스셉터의 흑연체 내의 비전도체 채널이 형성됨에 따라 슬라이스에 대해 균일한 가열이 저해될 수 있으므로 유도-가열 반응기보다는 램프-가열 반응기에 훨씬 적합하다. 상기 방법은 또한 배치형 반응기에도 적절히 호환된다고 말하기는 힘들다. 즉, 분명 불활성 가스로 인해 슬라이스의 전면부분이 슬라이스 처리도중에 깨끗이 유지될 수는 있지만, 가스는 그 흐름방향에 존재하는 먼지 입자들도 함께 쓸어버리기 때문에, 특히 서스셉터와 근접한 부분에서 처리작업이 수행되 고 있다면, 인접한 슬라이스들에게는 유해할 수 있다.
다른 방법으로는 슬라이스를 후면에서 다루는 방법이 있지만 슬라이스의 후면은 카세트 내부에서만 접근이 가능하고 슬라이스가 서스셉터 위에 위치되었을 경우에는 접근이 불가능하다는 문제가 있다. 이러한 문제점을 극복하기 위해, 서스셉터에 관통공을 형성하여 필요한 경우 상승 및 서스셉터 안에 슬라이스의 안착을 위한 하강 움직임이 가능하게 상기 관통공을 관통하도록 형성된 소형 지지대들을 사용하여 슬라이스를 상승시킬 수 있다. 즉, 로딩 사이클 동안에는, 상기 지지대들이 상승되어 지지대 위에 안착된 슬라이스들을 상승시킨다. 그런 다음 상기 지지대들을 하강시킴으로써 슬라이스들이 서스셉터의 해당 안착위치에 안착된다. 언로딩 사이클 동안에는 상기 지지대들 및 슬라이스들을 상승시킨다. 그런 다음 적절한 재질로 만들어진 설부(tongue) 또는 파지 도구(엔드 이펙터)를 슬라이스 밑에 대고 슬라이스를 제거한다. 안정성을 더욱 높여야 할 경우에는 슬라이스와 파지도구 사이에 약간의 진공을 적용시킴으로써 슬라이스를 제동하는 방법도 있다. 그러나, 이러한 방법은 효과적일지는 몰라도 사실상 램프-가열형 반응기에만 적절하다. 즉 이 방법은 유도-가열 반응기에는 대개 부적절한데, 이는 서스셉터의 흑연에 형성된 관통공으로 인해 균일하지 못한 공기흐름을 유발하여 균일하지 못한 가열을 유발하기 때문이다.
기타 알려진 방법으로는 미케니칼 그리퍼(mechanical gripper) 등과 같이 움직임이 가능한 파지 시스템을 사용하여 슬라이스의 외경, 혹은 모서리를 따라 두,세지점을 파지하는 방법이 있다. 그러나 이 방법은 구현이 쉽지가 않다. 즉, 서스 셉터 안에 유도 가열 시스템과 호환이 완전히 되지 않는 한개 또는 그 이상의 캐비티 형성을 위한 특별한 가공 과정이 필요하기 때문이다. 마지막으로, 위에 언급한 바와 같이, 슬라이스의 전면부에 대한 직접 접촉은 허용되지 않는다.
한편, 슬라이스의 테두리부에 하나 또는 그 이상의 접촉부에만 한정되도록 하여 전면부를 파지하도록 하는 시스템들도 있다. 슬라이스는 슬라이스와 파지도구 사이에 형성된 챔버를 사용하는 진공 시스템에 의해 그 위치가 유지된다. 그러나, 이런 경우 슬라이스 상승력은 슬라이스의 테두리의 접촉면적으로 한정되며, 슬라이스와 파지도구 사이에 약간의 위치상의 에러만으로도 면적이 좁거나 넓거나에 관계없이 접촉부분은 슬라이스 파지력 부족 또는 파지도구와 슬라이스간의 직접적인 접촉으로 인한 불량 증가 등의 위험을 겪게 된다. 결론적으로, 이 방법은 파지도구와 슬라이스 전면부간의 접촉면이 지나치게 넓기 대문에 최적이라 볼 수 없다.
본 발명의 목적은, 국제 특허 출원 WO 96/10659에서 설명된 평평한 디스크형 서스셉터를 구비하고, 기판에 대해 평행한 가스의 흐름을 가지는 에픽택셜 반응기에 반도체 슬라이스들을 공급 및 제거하는 개선된 자동화 장치를 제공하는데 있다.
간략히, 반응기 및 관련 장치의 동작은, 반응기 내부에서 성장되는 슬라이스들을 수용하는 랙들 또는 카세트들의 위치 결정단계; 슬라이스들이 이송되는 반응 챔버의 내부에 제품을 로딩하는 단계(상세한 설명은 후술됨); 반응 챔버 내부를 대략 수소 퍼징(hydrogen purging)하는 단계; 적당한 온도에 이르기까지 서스셉터와 슬라이스들을 가열하는 단계; 해당 스펙(relevant specification)이 필요로 하는 사이클을 처리하는 단계; 언로딩 단계에 적합한 온도까지 냉각하는 단계; 성장된 슬라이스들을 언로딩하고 카세트 내부로 다시 귀송시키는 단계(상세한 설명은 후술됨)를 포함한다.
로딩 및 언로딩 단계들은 파지 도구를 형성하는 재질에 적합한 온도에서 수행된다.
각각의 성장 사이클 다음에 다른 성장 사이클, 또는 슬라이스들이 로드되지 않는 소위 에칭 사이클이 이어지는데, 다음의 동작이 실행된다:
반응 챔버 내를 수소로 대략 퍼징하는 단계; 서스셉터가 적당한 에칭 온도에 도달할 때까지 가열하는 단계; 해당 스펙이 필요로 하는 에칭 사이클; 및 에칭 단계 후에 슬라이스의 로딩과 호환되는 온도까지 냉각시키는 단계를 포함한다.
상술한 국제 특허 출원 WO 96/10659에 형성된 에피택셜 반응기는 유도가열되는 디스크형 서스셉터를 구비하는 것으로 명명된 소위 "팬케이크"형으로써, 상술된 시스템들보다 효과적으로 사용될 수 있을 것이다.
상술한 결점을 극복하기 위해 사용되는 해결책은 다음과 같다.
소위 청정실측의 제 1 반응 구역은 처리될 혹은 기처리된 슬라이스들을 적재하는 카세트를 수용하고, 이 반응 구역은 대기내에 있을 수 있거나, 처리될 슬라이스의 실리콘을, 적어도 주위 온도에서, 불활성 가스로 세척하는 챔버로 구현될 수 있다(니트로젠 등의 저렴한 불활성가스가 공기 보다 바람직하다). 보다 간단한 예인 대기의 경우를 아래에서 설명하며, 특별한 효과를 가지는 가스 밀봉이나 불활성 가스들로 세척하는 것으로 연장시킬 필요가 없다. 공기를 사용하는 이런 경우에는, 케이스 내에는 고체 입자(먼지)가 제거된 대기를 유지하기 위해서 소위 공기용의 완전 필터만이 추가된다.
그리고, 반응기의 상기 제 1 구역은 슬라이스들을 수용하는 카세트들의 제거를 허용하기 위해 언제든지 개방될 수 있는 두 개의 도어를 구비하며, 도어들의 개방을 위해 특별히 불활성가스에 의한 퍼징이나 세척 사이클을 오래하지 않아도 된다. 그러나, 처리공정상, 상기 슬라이스의 국부적인 산화에 의한 아무리 적은 흠조차도 용인되지 않는 경우, 대안으로써 불활성 가스를 유도하여 대기에 슬라이스가 노출되는 것을 최소화하여 결과적으로 상기 흠에 노출되는 것을 최소화하기 위해 반응기의 제 1 구역은 밀폐 도어들과 유체 추출 펌프에 의한 퍼징 방법이 제공될 것이다. 제 1 로봇, 슬라이스들의 처리를 제어하는 외부 로봇이라 불리는 외부 로봇이 구비되며, 이런 형식의 핸들링을 허용하도록 정밀하게 구성된 통상적인 카세트들에 의해 뒤로부터 슬라이스들이 처리된다. 외부 로봇의 파지 도구는, 통상적으로 사용되는, 로봇 내에 설치된 작은 진공원에 의해 압축된 상태로 유지되는 슬라이스들을 이송시킨다.
이하 상기한 해결책의 동작 원리를 설명한다.
로딩되는 동안, 슬라이스는 해당 카세트로부터 이탈되고, 상기 외부 로봇의 내부에 형성된 배치 및 안내 위치로 이동된다. 카세트들과, 배치 및 안내 위치와, 외부 로봇들은 신규하지 않으며 본 발명에서 사용되지 않는다. 여기서 슬라이스는 소정 각도로 지향되고, 후속의 정확한 파지를 허용하도록 슬라이스의 기하학적 중심 위치가 계산된다. 슬라이스는 지지부로 작용하는 수정 디스크(quartz disk) 상의 퍼징 챔버 내부에 배치되며, 수정 디스크는 상기 외부 로봇의 통상적인 파지부에 의해 핸들링 될 수 있도록 형성된다. 수정 디스크는 언로딩되는 동안 열을 잘 분산시키도록 슬라이스에 비해 비교적 큰 질량을 가진다.
공기의 자취를 완전히 제거하기 위해 접근 도어가 닫히고, 퍼징 챔버는 불활성 가스로 세척되며, 필요하다면, 상기 퍼징 동작을 신속하게 하기 위해서 진공 추출 사이클을 사용하여 세척할 수 있다. 이때에는, 본 발명에 따른 내부 로봇이 작동되는 챔버에 접근을 제공하는 도어가 열린다. 한편, 보수 관리 작업 동안을 제외하고는 상기 챔버는 공기에 노출되지 않고 항상 불활성 가스 대기 내에서 작동된다.
내부 로봇의 파지 도구, 또는 핸드는 모서리들과의 매우 한정된 접촉에 의해 슬라이스들이 적합하게 핸들링되도록 허용하는 본 발명의 한 부분으로 형성된다. 사실상, 각 슬라이스는 대략 1mm 이상 돌출 형성된 모따기부 또는 모서리부를 가진다. 슬라이스의 모서리와 접촉하는 파지 도구의 일부는, 접촉구역이 모서리부에서 대략 1mm 이상 연장된 유일한 모따기부에 한정되도록 형성되며 수정 등의 적당한 재료로 형성된다. 그러나, 슬라이스의 이런 부분은 집적회로를 제조하는데 용이하지 못하고, 이런 해결은 생산된 제품의 품질을 손상시키지 않는 것이다. 더욱, 파지 도구 또는 핸드는 다음의 두가지 기능을 가지는 구조적인 파이프에 의해 내부 로봇의 아암과 연결된다.
첫째, 로봇 아암을 연장하여 반응 챔버 내부에 서스셉터의 위치까지 도달하 는 기능;
둘째, 관절부에 의해 또는 아암의 가요성을 이용하여, 상기 슬라이스의 상승을 포함하는 동작 동안 사용되는 슬라이스에서 파지 도구 혹은 핸드까지의 사이에 소정의 자가 승강 각도를 얻어내는 기능;
슬라이스의 상승은 설치된 유압기계에 의해 얻어지는 소정의 진공에 의해 된다. 진공은, 파지 도구의 외면을 따라 분포되는 일련의 홀에 의해 슬라이스에 전달되어, 슬라이스의 주변구역에 집중되며, 평평한 구역 또는 각각의 슬라이스의 방위와 식별에 이용되는 오목한 노치 구역에는 없다. 평평한 구역은 대략 150 mm에 이르는 직경을 갖는 슬라이스에 바람직하며, 보다 큰 직경의 슬라이스들의 경우에는 오목 노치부가 바람직하다. 진공 효과의 국부적 변형을 유발하는 모든 슬라이스 형상의 불일치 때문에, 본 발명에 따르면, 파지 도구 내의 흡입공의 집중을 적절하게 계산함으로써 이러한 변형을 오프셋시키는 것이 필요하다.
로딩하는 동안, 본질적으로 알려진 참조 방법에 의해 서스셉터는 적재될 캐비티를 회전시켜 정확하게 위치시킨다. 이러한 위치결정은 잘 알려진 광학 시스템에 의해 수행될 수 있다. 그리고, 슬라이스는 반응 챔버에 삽입되고 서스셉터의 적절한 캐비티의 상부에 위치된다. 내부 로봇은 조금씩 하강하고 슬라이스를 캐비티에 접촉시키며, 진공이 제거되면 상기 캐비티 상에 적재될 슬라이스를 해제시킨다. 로딩 단계들은 서스셉터 상에 존재하는 모든 캐비티들이 다 채워질 때까지 반복되며, 슬라이스들의 예정된 작업이 시작된다.
모든 광학 시스템들 중에서 레이저 에미터와 해당 서스셉터 사이의 거리를 측정하고 상기 거리에 비례하는 아날로그 신호를 생성시키는 레이저 텔레미터 시스템이 사용될 수 있다. 예를 들어, 레이저 텔레미터는 통상적인 기계적인 수단(마이크로 스크류)들에 의한 스캐닝 알고리즘 및 뒤이은 보정에 의해 서스셉터의 평행 위치 결정 내의 결함을 제거한다. 이러한 평행 위치 결정은 슬라이스들 상의 에피텍셜 디포지션의 균일성을 보장하는데 필수적이다.
슬라이스들의 예정된 처리가 완료되면, 상기 슬라이스들을 서스셉터로부터 언로딩할 필요가 있다. 이를 위해, 손상 없이 반응 챔버로부터 슬라이스들의 추출을 허용하는 적절한 온도에 서스셉터가 도달할 때까지 기다린 후에, 상기 내부 로봇을 다시 사용하여 각각의 슬라이스들을 서스셉터의 해당 캐비티들로부터 분리시키고, 비교적 큰 질량을 가지며 상기 슬라이스를 냉각시키는 수정 디스크 상에 위치한 퍼징 챔버 내부로 이송시킬 필요가 있다. 충분한 냉각후에, 슬라이스는 외부로봇에 의해 반응기의 제 1 구역내에 위치한 카세트들 중 어느 하나의 시트로 이송된다.
상기 방법을 구현한 본 발명은,
반응 챔버;
반도체 재료의 기판 또는 슬라이스들을 핸들링하기 위한 내부 로봇;
상기 슬라이스들을 청정 환경을 통하여 통과시키는 퍼징 챔버;
상기 반도체 재료의 슬라이스를 적층하여 수용하는 카세트들을 포함하는 저장구역; 및
상기 저장구역으로부터 상기 퍼징 챔버로 상기 슬라이스를 이송시키는 외부 로봇을 포함하되,
상기 내부 로봇은 외측단에 파지 수단을 가지는 관절식 아암을 수용하는 밀폐 챔버를 포함하는
반도체 재료의 슬라이스들과 같은 에픽택셜 장치들 또는 반응기에서 제조된 재료의 기판 핸들링 장치에 있어서,
상기 내부 로봇의 파지수단은, 상기 퍼징챔버로부터 반도체 재료를 제거한 후 이송시켜 상기 밀폐 챔버를 관통시킨 후 상기 반응 챔버의 디스크형 서스셉터의 리세스드 시이트(recessed seat)에 재치하기 위한, 또한 역으로 상기 리세스드 시이트로부터 상기 퍼징 챔버로 이동시키기 위한, 상기 반응 챔버(22)에 삽입될 수 있고 파지부 또는 핸드를 그 단부에 구비하는 적어도 하나의 아암을 포함하며,
상기 핸드는, 상기 슬라이스의 주변 구역 또는 모따기된 모서리를 따라서 상기 슬라이스의 상면과 접촉하도록 설계되고, 또한 진공효과에 의해 상기 슬라이스를 파지하도록 구성되며,
상기 파지 수단은,
상기 리세스드 시이트내에 상기 기판을 배치할 때는, 상기 파지 수단의 상기 아암으로부터 먼쪽의 슬라이스 모서리가 상기 리세스드 시이트와 먼저 접촉되며 이후에 상기 시트에 접촉되는 상기 모서리가 회전되어 완전히 접촉되도록, 상기 기판이 리세스드 시이트의 평면에 경사지게 유지되며, 또한 역으로,
상기 반응 챔버로부터 상기 퍼징 챔버로 슬라이스를 이송 시킬 때는, 상기 파지 수단의 상기 아암과 가까운 슬라이스 측면부터 먼저 상승된 후 상기 기판이 완전히 분리되고, 상기 기판이 상기 리세스드 시트의 평면에 경사지게 유지된 상태로 더 상승됨으로써, 상기 핸드의 진공 효과에 의해 파지된 상기 슬라이스가 상기 리세스드 시이트로부터 분리되는 방식으로 상기 슬라이스를 회전가능하게 관절식 아암에 부착시키기 위한 수단을 포함하는 것을 특징으로 하는 기판 핸들링 장치이다.
삭제
특히, 아암은 중공의 관형으로 형성되고, 일측이 플렉시블 관을 통해 진공원에 연결되고, 타측이 핸드의 내부에 형성된 원형 시이트에 연결되어, 상기 핸드의 하측과 핸드의 하면에 위치한 슬라이스 사이에 진공을 형성시킨다.
바람직하게는, 중공의 관형 아암과 내부 로봇의 관절식 아암 사이에는 관절수단이 배치되며, 상기 관절수단은 중공식 관형 아암의 승강을 허용하여, 관절식 아암에 의해 한정되는 평면의 상하로 핸드를 이동시키게 된다.
그리고, 관절수단은 중공식 관형 아암과 내부 로봇의 관절식 아암 사이에 배치되며, 또한 중공식 관형 아암이 길이방향 축에 대해 회전하는 것을 허용한다.
바람직하게는, 관절수단은 관절 아암에 고정된 지지 베어링과, 관절 수단이 회전되기 위한 회전핀과, 관절식 아암의 평면에 대한 핸드의 승강을 위한 허용 높 이를 고정시키기 위한 조정 스크류를 포함하며, 핸드는 디스크형 서스셉터에 원주방향으로 전방부만이나 후방부만, 또는 완전히 상기 서스셉터와 평행하게 안착된다.
그리고, 관절수단은 중공의 관형 아암의 주위에, 디스크형 서스셉터의 반경과 직교하는 방향으로 핸드가 정렬되도록 하기 위한 마찰방지 부쉬들을 더 포함한다.
더욱 바람직하게는, 핸드는 핸들링되는 슬라이스의 직경보다 큰 직경을 가지는 디스크 형상으로 형성되고, 슬라이스에 대향하며 슬라이스의 외측 테두리와 맞물리는 오목 시트가 형성된 바닥부를 구비한다.
삭제
바람직하게는, 시트는 핸드의 바닥부에 위치하며, 핸드와 슬라이스 사이에 진공을 형성시킬 수 있도록 중공의 관형 아암에 연통된 상기 핸드 내부의 챔버와 연통된 복수의 주변공을 구비한다.
가장 바람직하게는, 주변공들은 진공 손실이 가장 클 것으로 예상되는 부분에 주로 집중 형성된다.
특정 실시예에서는, 레이저 에미터와 반응 챔버의 디스크형 서스셉터 사이의 간격을 측정하며 해당 간격에 비례하는 아날로그 시그날을 발생하는 레이저 텔레미터가 사용되며, 텔레미터는 서스셉터의 레벨-어레인지먼트(level arrangement)의 결함을 감지하고, 반응 챔버에 대한 서스셉터의 페라렐-포지셔닝(parallel-positioning)의 결함을 감지한다.
또한, 서스셉터의 상기 외곽 테두리에는 상기 레이저 텔레메터에 의해 감지될수 있는 각도 기준점으로 사용되는 노치부가 형성되며, 슬라이스용 리세스드 시이트(또는 오목 시트: recessed seat)들은 노치부로부터 시작하여 카운트된다.
본 발명에 따르면, 상기한 바와 같이 구성된 장치의 핸드에 의해서 반응 챔버내에 배치된 디스크형 서스셉터의 리세스드 시이트에 슬라이스를 배치하는 방법은,
슬라이스는, 진공에 의해 핸드에 부착되고, 상승위치에서 반응 챔버로 들어가고, 디스크형 서스셉터의 리세스드 시이트들 중의 어느 하나의 상부로 이송되고, 초기에는 파지 수단의 아암으로부터 먼 쪽에 위치한 슬라이스의 모서리에만 접촉되고 다음에 전면이 접촉되도록 전방으로 기울어진 상태로 리세스드 시이트 위에 위치하도록 하강되고, 슬라이스들이 핸드에 부착되는 것을 유지시키는 진공이 제거된 후에는, 더 하강되어 핸드로부터 분리되며, 다음으로 핸드가 다시 상승하여서 슬라이스로부터 완전히 분리되고, 마지막으로 핸드가 반응 챔버를 떠나 후퇴하는 단계를 더 포함하는 것을 특징으로 한다.
반대로, 상기한 바와 같이 구성된 장치의 핸드에 의해 반응 챔버에 위치하는 디스크형 서스셉터의 리세스드 시이트로부터 슬라이스를 제거하는 방법은,
핸드가, 상승 위치 상태에서 반응 챔버로 들어가고, 디스크형 서스셉터의 리세스드 시이트들 중 어느 하나에 수용된 슬라이스의 상부로 이송되고, 초기에는 파지 수단의 아암으로부터 먼 쪽에 위치하는 모서리에 접촉된 후 전 외주와 접촉되도록, 핸드가 슬라이스와 접촉될 때 까지 하강되고, 슬라이스 상의 전체 외주와 접촉된 후에, 슬라이스의 모서리부가 핸드에 부착되도록 진공을 적용시키고, 다시 상승을 시작하여, 슬라이스를 초기에는 아암으로부터 가장 가까운 측의 슬라이스의 모서리에서 다음에는 슬라이스의 전 표면을 리세스드 시이트로부터 분리시키고, 마지막으로, 서스셉터의 리세스드 시이트으로부터 슬라이스가 완전히 상승된 후에, 슬라이스를 반응 챔버의 외부로 이송시키는 단계를 포함하는 것을 특징으로 한다.
도 1은 본 발명에 따른 외부 로봇과 내부 로봇을 구비하는 평판형 에픽택셜 반응기의 평단면도;
도 2는 본 발명에 따른 내부 로봇의 연장 아암의 끝단에 위치한 파지 도구 혹은 핸드의 일부 절단 측단면도;
도 3은 상기 파지 도구 혹은 핸드의 일부 절단 평단면도;
도 4는 이송되는 반도체 재질의 슬라이스와 핸드의 맞물림을 보여주기 위해 도 2의 핸드를 상세하게 일부를 확대하여 도시한 단면도;
도 5는 내지 도 8은 서스셉터의 캐비티 내에 슬라이스를 로드하기 위해 반도체를 서스셉터의 캐비티으로 운반하는 파지수단을 순차적으로 도시한 일부 절단 측단면도;
도 9 내지 도 12는 서스셉터의 캐비티 내에 로딩된 슬라이스로부터 파지수단을 분리시키는 것을 순차적으로 보여주는 일부 절단 측단면도;
도 13 내지 도 16은 상기 슬라이스를 이탈시키기 위해, 서스셉터의 캐비티 내에 위치된 반도체 슬라이스 쪽으로 향하는 로봇의 파지수단을 순차적으로 도시한 일부 절단 측단면도; 및
도 17 내지 도 20은 서스셉터의 캐비티로부터 분리되어 이탈되는 반도체 슬라이스를 순차적으로 도시한 일부절단 측단면도이다.
도 1을 참조하면, 에픽택셜 반응기(20)는 반응 챔버(22)를 포함한다. 반응 챔버(22)는 슬라이스들(24a-e)를 수용하기 위한 치수를 가지는 캐비티(28a-e)가 구비된 디스크형 서스셉터(26) 상에/로부터 반도체 재질의 상기 슬라이스(24a-e)들을 재치 및 제거하기 위한 다수의 로봇들을 구비한다.
슬라이스(24a-e)가 반응 챔버(22) 내에 위치한 디스크(26)의 캐비티(28a-e)에 수동으로 조종되지 않고도 재치 및 제거되야 하기 때문에, 이 목적을 위해 소위 내부 로봇(30)과 외부 로봇(32)이 구비된다. 내부 로봇(30)은 끝단에 파지 도구 또는 핸드(70)를 구비한 관형 아암(64)을 포함하여 본 발명의 주제를 형성한다. 내부 로봇(30)은 반응 챔버(22)와 퍼징 챔버(34) 사이에 배치되며, 퍼징 챔버(34)는 슬라이스들용 제 1 구역 혹은 저장 구역(36)과 내부 로봇(30) 사이, 또는 반대로 내부 로봇(30)으로부터 제 1 저장고(36)로 지나가는 슬라이스(24a-e)들을 처리하는 기능을 가진다.
저장 구역(36)은 복수의 카세트(38)(40)를 구비하며, 카세트(38)(40)들은 반응 챔버(22)내에서 처리될 혹은 처리된 반도체 재질의 슬라이스들을 수용하는 기능을 가진다. 그리고, 저장 구역(36)은 관절식 아암(42)을 수용하며, 관절식 아암(42)은 카세트(38)로부터 퍼징 챔버(34)로, 또는 반대로 퍼징 챔버(34)로부터 카세트(40)로의 슬라이스(24)들의 운반을 보장하는 기능을 가지는 진공형 파지수단(44)이 끝단에 구비된다. 관절식 아암(42)은 이미 잘 알려져 있다.
퍼징 챔버(34)는 길로틴 도어(guillotine door)와 같이 신속하게 작동하는 형식의 밀폐 밀봉(hermetically sealed)되는 제 1 도어(50)와, 제 1 밀폐 도어(50)와 같은 형식의 밀폐 밀봉된 제 2 도어(52), 및 상기 퍼징 챔버(34)를 관통하여 지나가는 슬라이스(24)를 지지하기 위한 디스크(54)를 포함한다. 바람직하게, 디스크(54)는 적당한 내마모성과 실질적으로 제로의 오염도를 확보하도록 석영으로 형성되고, 슬라이스(24)를 재치하거나 제거하기 위해 퍼징 챔버(34)로 들어가는 파지 수단(44)을 수용하기 위한 리세스(recess)(55)가 구비된다. 디스크(54)는 냉각 단계동안 열을 보다 잘 버릴 수 있도록 슬라이스와 비교하여 상대적으로 큰 질량을 가진다. 수소에 반응하는 기체의 반응 챔버 내로의 유입, 및 화학증기증착(CVD) 공정 중에 반응 챔버 내에서 형성되는 HCI와 같이 자극성이 있거나 독성을 가질 수 있는 가스와 수소의 대기로의 유출을 피하는 것이 바람직하기 때문에, 퍼징 챔버(34)는 질소와 같은 하나의 원소로 이루어진 불활성 기체나 또는 공기일 수 있는 저장 구역(36)의 무진(dust-free;無塵) 환경으로부터 주로 수소로 구성된 반응 챔버의 환경으로, 역으로, 필수적으로 수소로 구성된 반응 챔버(22)의 환경으로부터 저장 구역(26)의 환경으로, 슬라이스(24)가 통과하도록 준비하는 기능을 가진다.
밀폐 챔버(56)은 내부 로봇(30)을 수용하고, 퍼징 챔버(34)와 연통하는 밀폐 밀봉되는 도어(52)와, 반응 챔버(22)와 연통되는 다른 밀폐 밀봉되는 도어(57)를 구비한다. 내부 로봇(30)은 끝단에 파지 및 이송 수단(60)을 구비하는 관절식 아암(58)을 포함하며, 도 2 내지 도 4에서 상세하게 설명될 파지 및 이송 수단(60)은 퍼징 챔버(34)로부터 반응 챔버(22) 또는 그 반대로 슬라이스(24)들을 이송시키는 기능을 가진다.
도 2 내지 도 4에 도시된 바와 같이, 본 발명에 따른 파지 및 이송 수단(60) 은 관절 수단(62)와 관형 아암(64)을 구비하고, 관절수단(62)는 관절식 아암(58)과 연결되며, 관형 아암(64)은 플렉시블 파이프(68: 도 1 참조)와 진공원 등의 공압 장치(미도시)에 차례로 연결되는 연결부(66)를 구비한다. 관형 아암(64)은 관절수단(62)로부터 먼 쪽 단에서 파지 도구 또는 "핸드"(70)에 연결된다. 파지 도구 또는 핸드(70)는 퍼징 챔버(34) 내에 위치하는 슬라이스 지지 디스크(54)로부터 디스크형 서스셉터(26)으로의 슬라이스(24)의 이송 및, 역으로, 서스셉터(26)로부터 지지 디스크(24)로 이송 중에 슬라이스(24)를 보유하는 기능을 가진다.
핸드(70)는 환형 림(rim)의 형상의 두 부재(72)(74)가 접합됨으로써 형성된다. 상부 부재(72)는, 관형 아암(64)에 연결된 클램프(78)에 고정되는 꼬리부(76)를 구비하며, 바닥 부재(74)의 꼬리부(80)와 함께 관형 아암(64)과 연통되는 덕트(82)를 형성한다. 바닥 부재(74)는 덕트(82)와 연통되는 환형 캐비티(84)와, 슬라이스(24) 방향으로 관통 형성된 작은 홀(86a-m)을 구비한다. 그리고 이 바닥 부재(74)는 슬라이스 상에서 수행되는 공정을 위하여 아무런 유용한 기능을 갖지 않는 것으로 예상되는 슬라이스(24)의 한정된 모서리부(25)와 만 접촉된다.
도 5 내지 도 8은 파지 수단(60)이 서스셉터 디스크(26)의 캐비티(28)의 내부에 반도체 슬라이스(24)를 안착시키는 방법을 설명한 것이다. 도 2 및 도 3에 상세히 설명된 바와 같이, 파지 수단(60)은 핸드(70)에 의해 형성되며, 핸드(70)는 관형 아암(64)과 연결부(66)에 의해, 작동시 진공원과 연통되는 플렉시블 파이프(68)에 연결된다.
도 5에 도시된 바와 같이, 파지수단(60)은, 핸드(70)에 부착된 반도체 슬라 이스(24)를 이송하면서, 반응 챔버(72)로 진입된다. 핸드(70)에의 슬라이스(24)의 부착은, 화살표 90으로 표시된 연결부(66)에 적용된 진공에 의하여 확보된다. 반응 챔버(22)로의 진입은 관절식 아암(58)의 전방 움직임을 나타내는 제 1 굵은 화살표(92)에 의해 표시된다. 그 후, 관절식 아암(58)의 아래방향으로의 움직임을 나타내는 제 2 굵은 화살표(94)에 의하여 보여지는 바와 같이, 파지 수단(60)은 디스크형 서스셉터(26) 쪽으로 하강되기 시작한다.
핸드(70)에 의하여 파지된 슬라이스(24)가 디스크형 서스셉터(26) 상의 캐비티(28)에 접촉되지 않도록 관절식 아암(58)이 올려져 있는 상태에서, 핸드(70)와 슬라이스(24)의 무게에 의해 관절 수단(62)이, 피봇 핀(98)을 중심으로 회전하면서 그리고 조정 스크류(100)에 의해 허용되는 지점까지 하강하면서, 아암(58)의 끝단에 마련된 패드(96)에 놓여진다.
도 6에 도시된 바와 같이, 슬라이스(24)의 끝단이 서스셉터(26)의 내측으로 배치된 캐비티(28)와 접촉하는 지점까지 아암(58)이 하강되면, 핸드(70)의 하강이 중지되고, 파지 수단(60)은, 도 7에 도시된 바와 같이, 슬라이스(24)가 캐비티(28)에 완전히 놓여질 때까지, 스크류(100)가 상승하기 시작함에 따라, 서스셉터(26)와의 평행을 점진적으로 맞춘다. 이때, 화살표 90으로 표시된 진공이 제거되어 슬라이스(24)는 핸드(70)와 분리된다. 여기서, 핸드(70)로부터 슬라이스(24)가 분리되기 전에, 세라믹 재료 또는 테프론과 같은 감마재로 형성된 2개의 부쉬(63)(65)들은 도 3의 원형 화살표 67의 방향으로의 관형 아암(64)의 회전을 허용함으로써, 캐비티(28) 내의 슬라이스(24)의 완전한 레벨링(leveling)을 가능케 하며, 이에 의해 본 발명의 일부를 형성하는 셀프-레벨링 특징을 가지는 파지 및 이송 수단(60)이 제공된다. 슬라이스(24)가 캐비티(28) 내에 완전히 지지되어 레벨링되고, 진공(90)의 부재로 인하여 핸드(70)가 슬라이스(24)로부터 분리되면, 도 8에 도시된 바와 같이, 관절식 아암(58)이 보다 더 하강하여 슬라이스(24)의 내측면으로부터 핸드(70)를 상승시킨다.
도 9 내지 도 12를 참조하면, 서스셉터(26)의 캐비티(28) 내에 슬라이스(24)를 완전히 해제(release) 하면서 핸드(70)가 슬라이스(24)로부터 분리될 수 있는 방법을 알 수 있다. 도 9에서 알 수 있는 바와 같이, 진공(90)의 부재로 인하여 핸드(70)가 슬라이스(24)로부터 실질적으로 분리된 상태가 유지되는 동안, 아암(58)은 핸드(70)가 슬라이스(24)에 완전히 놓여질 때까지 굵은 화살표 104의 방향으로 상승하기 시작하고, 도 10에 도시된 바와 같이, 핸드(70)에 적용되던 진공(90)이 없기 때문에 핸드(70)는 슬라이스(24)로부터 분리된 상태로 유지된다. 도 11에 도시된 바와 같이, 화살표 104의 방향으로 관절식 아암(58)의 계속하여 상승하면, 외측에서 핸드(70)의 상승이 유발된다. 마지막으로, 아암(58)이 더 상승하면, 핸드(70)가 슬라이스(24)로부터 완전히 분리되어 들어올려지고, 화살표 106의 방향으로 아암(58)이 후퇴되어 파지 및 이송 수단(60)이 반응 챔버(22;도 1참조)의 외부로 이동된다.
도 13 내지 도 16은 서스셉터(26)의 캐비티내에 안착된 슬라이스(24) 상에 핸드(70)의 위치 결정 과정을 도시하며, 도 17 내지 도 20은 서스셉터(26)의 캐비티(28)로부터 슬라이스(24)의 상승 및 제거 과정을 도시한다.
도 13 내지 도 16을 참조하면, 관절식 아암(58)이 화살표 92의 방향으로 이 동하여 핸드(70)가 슬라이스(24)와 정렬되고, 화살표 94 방향으로 하강하여 핸드(70)가 슬라이스(24) 쪽으로 이동됨을 알 수 있다. 도 14에 도시된 바와 같이, 아암(58)이 더욱 더 하강하면 핸드(70)는 슬라이스(24)의 내측과 먼저 접촉되며, 도 15에 도시된 바와 같이, 결국 전 슬라이스(24)와 접촉된다. 부쉬(63)(65)는, 관형 아암(64)의 축에 대한 회전을 허용함으로써, 슬라이스(24)에 대한 핸드의 완전한 셀프-얼라인먼트(self-alignment)를 가능케 한다. 도 16에 도시된 바와 같이, 아암(58)이 조금 더 하강되면, 슬라이스(24)의 내측으로부터 핸드(70)가 분리될 수 있으나, 이는 중요하지 않다.
도 17을 참조하면, 어떻게 관절식 아암(58)이, 화살표 90으로 표시된 진공이 관형 아암(64)에 인가된 상태로, 화살표 104에 의하여 표시된 바와 같이, 다시 상승하기 시작하여, 슬라이스(24)의 외측이 먼저 핸드(70)에 부착되는지를 알 수 있다. 그 후, 도 18에 도시된 바와 같이, 관절식 아암(58)이 보다 더 상승하면, 핸드(70)가 슬라이스(24)에 완전하게 부착되며, 그 동안 진공(90)이 슬라이스(24)를 핸드(70)에 부착시킨다. 계속해서 아암(58)이 더욱 더 상승하면, 스크류(100)가 패드(96) 위에 놓여질 때까지 그 피봇 핀(98)을 중심으로 관절 수단(62))이 회전되며, 서스셉터(26)의 캐비티(28)로부터 슬라이스(24)의 외측이 분리되고, 도 19에 도시된 바와 같이, 이에 의거하여, 슬라이스(24)를 캐비티와 평행하게 유지시키면서 분리하는 경우에 발생될 수 있는, 캐비티(28)로부터 슬라이스(24)의 강제적인 분리가 회피된다. 이에 의하여, 핸드(70)에 의하여 작용되는 과도한 힘으로 인하여 슬라이스(24)에 발생될 수 있는 손상과 캐비티(28)로부터 슬라이스(24)의 갑작스런 해제로 인하여 관형 아암(64)에 발생될 수 있는 진동을 피할 수 있다. 마지막으로, 도 20에 도시된 바와 같이, 화살표 104 방향으로의 아암(58)의 최후의 상승은 캐비티(28)로부터 슬라이스(24)를 완전히 분리시키고, 화살표 106의 방향으로 아암(58)의 후퇴는 슬라이스(24)와 함께 핸드(70)를 반응 챔버(22;도 1 참조)의 외부로 이동시킨다.
슬라이스(24)의 뒤틀림으로부터 야기되는 과도한 변형을 피하고 및/또는 상기 슬라이스(24)가 핸드(70)에 의해 운반될 때 얼라인먼트(alignment)에 있어서의 작은 오차를 상쇄시키기 위하여, 핸드(70)에 의하여 적용되는 진공을 조절하는 수단이 제공된다. 특히, 진공은 핸드(70)가 슬라이스(24)들과 맞물리기 시작할 때 최대치로 유지되어야 하며, 핸드(70)의 슬라이스(24)와의 맞물림이 완전 종료되면 설정된 값으로 조정된다.
이상에서는 어떠한 방식으로든 본 발명을 한정하는 것으로 간주되지 않는 본 발명의 하나의 실시예를 설명하였으며, 본 발명의 범위는 첨부된 청구범위에 의해서만 정하여질 수 있다. 그러므로, 이상의 설명을 읽음에 따라 당업자에게 생길 수 있는 모든 논리적으로 균등한 해결책은 여기에 포함되는 것으로 간주되어야 한다.

Claims (16)

  1. 반응 챔버(22);
    반도체 재료의 기판 또는 슬라이스(24)들을 핸들링하기 위한 내부 로봇(30);
    상기 슬라이스(24)들을 청정 환경을 통하여 통과시키는 퍼징 챔버(34);
    상기 반도체 재료의 슬라이스(24)를 적층하여 수용하는 카세트(38, 40)들을 포함하는 저장구역(36); 및
    상기 저장구역(36)으로부터 상기 퍼징 챔버(34)로 상기 슬라이스(24)를 이송시키는 외부 로봇(32)을 포함하되,
    상기 내부 로봇(30)은 외측단에 파지 수단(60)을 가지는 관절식 아암(58)을 수용하는 밀폐 챔버(56)를 포함하는
    반도체 재료의 슬라이스들과 같은 에픽택셜 장치들 또는 반응기에서 제조된 재료의 기판 핸들링 장치에 있어서,
    상기 내부 로봇(30)의 파지수단(60)은
    상기 퍼징챔버(34)로부터 반도체 재료를 제거한 후 이송시켜 상기 밀폐 챔버(56)를 관통시킨 후 상기 반응 챔버(22)의 디스크형 서스셉터(26)의 리세스드 시이트(28)에 재치하기 위한, 또한 역으로 상기 리세스드 시이트(28)로부터 상기 퍼징 챔버(34)로 이동시키기 위한 상기 반응 챔버(22)에 삽입될 수 있고 파지부 또는 핸드(70)를
    그 단부에 구비하는 하나의 아암(64)을 포함하며,
    상기 핸드(70)는, 상기 슬라이스(24)의 주변 구역 또는 모따기된 모서리(25)를 따라서 상기 슬라이스(24)의 상면과 접촉하도록 설계되고, 또한 진공효과에 의해 상기 슬라이스(24)를 파지하도록 구성되며,
    상기 파지 수단(60)은
    상기 리세스드 시이트(28)내에 상기 기판을 배치할 때는, 상기 파지 수단(60)의 상기 아암(64)으로부터 먼쪽의 슬라이스 모서리가 상기 리세스드 시이트(28)와 먼저 접촉되며 이후에 상기 시트에 접촉되는 상기 모서리가 회전되어 완전히 접촉되도록, 상기 기판이 리세스드 시이트(28)의 평면에 경사지게 유지되며, 또한 역으로,
    상기 반응 챔버(22)로부터 상기 퍼징 챔버(34)로 슬라이스(24)를 이송 시킬 때는, 상기 파지 수단(60)의 상기 아암(64)과 가까운 슬라이스 측면부터 먼저 상승된 후 상기 기판이 완전히 분리되고, 상기 기판이 상기 리세스드 시이트의 평면에 경사지게 유지된 상태로 더 상승됨으로써, 상기 핸드의 진공 효과에 의해 파지된 상기 슬라이스가 상기 리세스드 시이트(28)로부터 분리되는 방식으로 상기 슬라이스를 회전가능하게 관절식 아암에 부착시키기 위한 수단을 포함하는 것을 특징으로 하는 기판 핸들링 장치.
  2. 제 1 항에 있어서, 상기 아암(64)은,
    중공의 관형으로 형성되고, 일측이 플렉시블 관(68)을 통해 진공원에 연결되고, 타측이 상기 핸드(70)의 내부에 형성된 원형 시이트(84)에 연결되어, 상기 핸드(70)의 하측과 상기 핸드(70)의 하면에 위치한 슬라이스(24) 사이에 진공을 형성시키는 것을 특징으로 하는 기판 핸들링 장치.
  3. 제 2 항에 있어서,
    상기 중공의 관형 아암(64)과 상기 내부 로봇(30)의 상기 관절식 아암(58) 사이에는 관절수단(62)이 배치되며, 상기 관절수단은 상기 중공식 관형 아암(64)의 승강을 허용하여, 상기 관절식 아암(58)에 의해 한정되는 평면의 상하로 상기 핸드(70)를 이동시키도록 된 것을 특징으로 하는 기판 핸들링 장치.
  4. 제 3 항에 있어서,
    상기 관절수단(62)은 상기 중공식 관형 아암(64)과 상기 내부 로봇(30)의 상기 관절식 아암(58) 사이에 배치되며, 중공식 관형 아암(64)이 길이방향 축에 대해 회전하는 것을 더 허용하는 것을 특징으로 하는 기판 핸들링 장치.
  5. 제 4 항에 있어서,
    상기 관절수단(62)은, 상기 관절 아암(58)에 고정된 지지 베어링(96)과, 관절 수단(62)이 회전되기 위한 회전핀(98)과, 상기 관절식 아암(58)의 상기 평면에 대한 상기 핸드(70)의 승강을 위한 허용 높이를 고정시키기 위한 조정 스크류(100)를 포함하며, 상기 핸드는 상기 디스크형 서스셉터(26)에 원주방향으로 전방부만이나 후방부만, 또는 완전히 상기 서스셉터(26)와 평행하게 안착될 수 있는 것을 특징으로 하는 기판 핸들링 장치.
  6. 제 5 항에 있어서,
    상기 관절수단(62)은, 상기 중공의 관형 아암(64)의 주위에, 상기 디스크형 서스셉터(26)의 반경과 직교하는 방향으로 상기 핸드(70)가 정렬되도록 하기 위한 마찰방지 부쉬(63,65)들을 더 포함하는 것을 특징으로 하는 기판 핸들링 장치.
  7. 제 6 항에 있어서,
    상기 핸드(70)는 핸들링되는 상기 슬라이스(24)의 직경보다 큰 직경을 가지는 디스크 형상으로 형성되고, 상기 슬라이스(24)에 대향하며 상기 슬라이스(24)의 외측 테두리(25)와 맞물리는 리세스드 시트가 형성된 바닥부(74)를 구비하는 것을 특징으로 하는 기판 핸들링 장치.
  8. 삭제
  9. 제 7 항에 있어서,
    상기 시트는 핸드(70)의 바닥부(74)에 위치하며, 상기 핸드(70)와 상기 슬라이스(24) 사이에 진공을 형성시킬 수 있도록 상기 중공의 관형 아암(64)에 연통된 상기 핸드(70) 내부의 챔버(84)와 연통된 복수의 주변공(86)을 구비하는 것을 특징으로 하는 기판 핸들링 장치.
  10. 삭제
  11. 제1항 내지 제7항 또는 제9항 중 어느 하나의 항에 있어서,
    레이저 에미터와 상기 반응 챔버(22)의 상기 디스크형 서스셉터(26) 간격을 측정하며 상기 간격에 비례하는 아날로그 시그날을 발생하는 레이저 텔레미터가 사용되며, 상기 텔레미터는 상기 서스셉터(26)의 레벨-어레인지먼트(level arrangement)의 결함을 감지하고, 상기 반응 챔버(22)에 대한 상기 서스셉터(26)의 패라렐-포지셔닝(parallel-positioning)의 결함을 감지하는 것을 특징으로 하는 기판 핸들링 장치.
  12. 제 11 항에 있어서,
    상기 서스셉터(26)의 상기 외곽 테두리에는 상기 레이저 텔레메터에 의해 감지되는 각도 기준점으로 사용되는 노치가 형성되며, 상기 슬라이스(24)용의 리세스드 시이트(28)들은 상기 노치로부터 시작하여 카운트되는 것을 특징으로 하는 기판 핸들링 장치.
  13. 슬라이스(24)는,
    진공에 의해 핸드(70)에 부착되고,
    상승위치에서 반응 챔버(22)로 들어가고,
    디스크형 서스셉터(26)의 리세스드 시이트(28)들 중의 어느 하나의 상부로 이송되고,
    초기에는 상기 파지 수단(60)의 아암(64)으로부터 가장 멀리 떨어진 곳에 위치하는 상기 슬라이스의 모서리와 접촉되고 다음에 전면이 접촉되도록 전방으로 기울어진 상태로 상기 리세스드 시이트(28) 위에 위치하도록 하강되고,
    슬라이스(24)들이 핸드(70)에 부착되는 것을 유지시키는 진공이 제거된 후에는,
    더 하강하여 핸드(70)로부터 분리되며,
    다음으로 핸드(70)가 다시 상승하여서 슬라이스(24)로부터 완전히 분리되고,
    마지막으로 상기 핸드(70)가 반응 챔버(22)를 떠나 후퇴하는 것을 특징으로 하는 제1항 내지 제7항 또는 제9항 내지 제10항 중 어느 하나의 항의 장치의 핸드(70)에 의해 반응 챔버(22)에 위치하는 디스크형 서스셉터(26)의 리세스드 시트(28)에 슬라이스(24)를 위치시키는 방법.
  14. 핸드(70)가,
    상승 위치 상태에서 반응 챔버(22)로 들어가고,
    상기 디스크형 서스셉터(26)의 리세스드 시이트(28)들 중 어느 하나에 수용된 슬라이스(24)의 상부로 이송되고,
    초기에는 파지 수단(60)의 아암(64)로부터 가장 먼 쪽의 모서리가 접촉된 후 전 표면과 접촉되도록, 상기 핸드(70)가 상기 슬라이스(24)와 접촉될 때 까지 하강되고,
    상기 슬라이스 상의 전 외주와 접촉된 후에,
    상기 슬라이스(24)의 모서리부(25)가 상기 핸드(70)에 부착되도록 진공을 적용시키고,
    다시 상승을 시작하여, 상기 슬라이스(24)를 초기에는 상기 파지 수단(60)의 아암(64)에 가장 가까운 측에 위치하는 모서리로부터 다음에는 전 표면을 상기 리세스드 시이트(28)로부터 분리시키고,
    마지막으로, 상기 서스셉터(26)의 상기 리세스드 시이트(28)로부터 상기 슬라이스(24)가 완전히 상승된 후에, 슬라이스를 반응 챔버(22)의 외부로 이송시키는 것을 특징으로 하는 제1항 내지 제7항 또는 제9항 내지 제10항 중 어느 하나의 항의 장치의 핸드(70)에 의해 반응 챔버(22)에 위치하는 디스크형 서스셉터(26)의 리세스드 시이트(28)로부터 슬라이스(24)를 제거하는 방법.
  15. 제 13 항에 있어서,
    뒤틀림에 의한 상기 슬라이스(24)의 과도한 변형을 억제하기 위하여, 상기 핸드(70)에 진공이 적용되며, 상기 슬라이스(24)들이 완전히 상기 핸드(70)에 접촉되지 않은 상태에서 상기 핸드(70)에 의한 상기 슬라이스(24)들의 체결이 시작될 때 상기 진공이 최대로 되며, 계속해서 진공을 조절하는 수단이 상기 진공을 실질적인 슬라이스(24)의 변형의 유발 없이 슬라이스(24)들과 핸드(70)의 부착이 충분히 유지될 수 있는 최소값으로 감소시키는 것을 특징으로 하는 제1항 내지 제7항 또는 제9항 내지 제10항 중 어느 하나의 항의 장치의 핸드(70)에 의해 반응 챔버(22)에 위치하는 디스크형 서스셉터(26)의 리세스드 시트(28)에 슬라이스(24)를 위치시키는 방법.
  16. 제 14 항에 있어서,
    뒤틀림에 의한 상기 슬라이스(24)의 과도한 변형을 억제하기 위하여, 상기 핸드(70)에 진공이 적용되며, 상기 슬라이스(24)들이 완전히 상기 핸드(70)에 접촉되지 않은 상태에서 상기 핸드(70)에 의한 상기 슬라이스(24)들의 체결이 시작될 때 상기 진공이 최대로 되며, 계속해서 진공을 조절하는 수단이 상기 진공을 실질적인 슬라이스(24)의 변형의 유발 없이 슬라이스(24)들과 핸드(70)의 부착이 충분히 유지될 수 있는 최소값으로 감소시키는 것을 특징으로 하는 제1항 내지 제7항 또는 제9항 내지 제10항 중 어느 하나의 항의 장치의 핸드(70)에 의해 반응 챔버(22)에 위치하는 디스크형 서스셉터(26)의 리세스드 시트(28)로부터 슬라이스(24)를 제거하는 방법.
KR1020017010259A 1999-02-12 1999-12-03 플랫 디스크형 서스셉터를 구비한 에픽택셜 유도 반응기 내에서 셀프-레벨링 진공 시스템에 의해 기판을 핸들링하는 장치 및 그 작동 방법 KR100623170B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
IT1999MI000281A IT1308606B1 (it) 1999-02-12 1999-02-12 Dispositivo per maneggiare substrati mediante un istema autolivellante a depressione in reattori epistassiali ad induzione con suscettore
ITMI99A000281 1999-02-12

Publications (2)

Publication Number Publication Date
KR20010110435A KR20010110435A (ko) 2001-12-13
KR100623170B1 true KR100623170B1 (ko) 2006-09-18

Family

ID=11381870

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020017010259A KR100623170B1 (ko) 1999-02-12 1999-12-03 플랫 디스크형 서스셉터를 구비한 에픽택셜 유도 반응기 내에서 셀프-레벨링 진공 시스템에 의해 기판을 핸들링하는 장치 및 그 작동 방법

Country Status (10)

Country Link
US (1) US6648974B1 (ko)
EP (1) EP1224691B1 (ko)
JP (1) JP2002536201A (ko)
KR (1) KR100623170B1 (ko)
CN (1) CN1155053C (ko)
AT (1) ATE290253T1 (ko)
DE (1) DE69924040T8 (ko)
HK (1) HK1042165A1 (ko)
IT (1) IT1308606B1 (ko)
WO (1) WO2000048234A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2016080615A1 (ko) * 2014-11-21 2016-05-26 (주)씨앤아이테크놀로지 반도체 패키지의 전자파 차폐막 형성을 위한 점착패드 제조장치 및 이를 이용한 점착패드 제조방법

Families Citing this family (371)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
ITMI20012014A1 (it) * 2001-09-27 2003-03-27 Lpe Spa Utensile per maneggiare fette e stazione per crescita epitassiale
KR100487427B1 (ko) * 2001-11-06 2005-05-03 엘지.필립스 엘시디 주식회사 액정표시장치 제조용 스퍼터
KR100441875B1 (ko) * 2003-06-02 2004-07-27 주성엔지니어링(주) 분리형 이송 챔버
WO2006126218A1 (en) * 2005-05-26 2006-11-30 Lpe S.P.A. Vacuum system for wafer handling
JP2007221031A (ja) * 2006-02-20 2007-08-30 Lintec Corp 搬送装置及び搬送方法
KR101074186B1 (ko) * 2006-04-07 2011-10-14 어플라이드 머티어리얼스, 인코포레이티드 에피택셜 필름 형성을 위한 클러스터 툴
US20080003092A1 (en) * 2006-06-30 2008-01-03 Petar Baclija Rotary union connection
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
DE102007041332A1 (de) 2007-08-31 2009-03-05 Siemens Ag Transferchuck zur Übertragung, insbesondere von Wafern
US10041169B2 (en) * 2008-05-27 2018-08-07 Picosun Oy System and method for loading a substrate holder carrying a batch of vertically placed substrates into an atomic layer deposition reactor
US8282334B2 (en) * 2008-08-01 2012-10-09 Picosun Oy Atomic layer deposition apparatus and loading methods
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
DE202009002523U1 (de) 2009-02-24 2010-07-15 Kuka Systems Gmbh Handhabungseinrichtung
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
CN102212877B (zh) * 2010-07-09 2012-08-22 江苏中晟半导体设备有限公司 具有多个外延反应腔的mocvd系统及其操作方法
CN102330147B (zh) * 2010-07-14 2015-11-25 郭志凯 一种硅片生产外延设备及其系统
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US8613474B2 (en) * 2011-07-06 2013-12-24 Tel Nexx, Inc. Substrate loader and unloader having a Bernoulli support
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
KR101271246B1 (ko) * 2011-08-02 2013-06-07 주식회사 유진테크 에피택셜 공정을 위한 반도체 제조설비
WO2013040330A1 (en) * 2011-09-14 2013-03-21 Brooks Automation, Inc. Load station
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) * 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
CN107818932B (zh) * 2013-09-09 2020-02-14 北京北方华创微电子装备有限公司 转盘定位装置、装载传输系统及等离子体加工设备
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
JP6800022B2 (ja) * 2014-07-03 2020-12-16 エルピーイー ソシエタ ペル アチオニ 基板を操作するためのツール、操作方法およびエピタキシャル反応器
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN105632972B (zh) * 2014-12-01 2019-02-19 北京北方华创微电子装备有限公司 反应腔室
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
CN105619406B (zh) * 2015-12-31 2017-10-17 北京七星华创电子股份有限公司 多指机械手片叉的校准方法
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210084058A (ko) * 2019-12-27 2021-07-07 삼성전자주식회사 기판 이송 장치 및 이를 이용한 기판 이송 시스템
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4915564A (en) * 1986-04-04 1990-04-10 Materials Research Corporation Method and apparatus for handling and processing wafer-like materials
US5626675A (en) * 1993-11-18 1997-05-06 Tokyo Electron Limited Resist processing apparatus, substrate processing apparatus and method of transferring a processed article

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1068514A (en) * 1963-05-03 1967-05-10 Headley Townsend Backhouse Improvements in or relating to suction grippers
US4129328A (en) * 1977-06-20 1978-12-12 Littell Edmund R Plate handling apparatus with load deflection compensation
US4433951A (en) * 1981-02-13 1984-02-28 Lam Research Corporation Modular loadlock
US4588343A (en) * 1984-05-18 1986-05-13 Varian Associates, Inc. Workpiece lifting and holding apparatus
JPS6365640A (ja) * 1986-09-05 1988-03-24 Kokusai Electric Co Ltd ウエハの着脱方法及び装置
JPS6387831U (ko) * 1986-11-26 1988-06-08
US4828224A (en) * 1987-10-15 1989-05-09 Epsilon Technology, Inc. Chemical vapor deposition system
US5843233A (en) * 1990-07-16 1998-12-01 Novellus Systems, Inc. Exclusion guard and gas-based substrate protection for chemical vapor deposition apparatus
US5172922A (en) * 1991-04-25 1992-12-22 Digital Equipment Corporation Self aligning vacuum nozzle
US5766360A (en) * 1992-03-27 1998-06-16 Kabushiki Kaisha Toshiba Substrate processing apparatus and substrate processing method
KR100310249B1 (ko) * 1995-08-05 2001-12-17 엔도 마코토 기판처리장치
US5915915A (en) * 1996-03-07 1999-06-29 Komag, Incorporated End effector and method for loading and unloading disks at a processing station
JP2772283B2 (ja) * 1996-07-16 1998-07-02 山形日本電気株式会社 真空吸着ピンセットおよびその吸着方法
US5961169A (en) * 1998-07-27 1999-10-05 Strasbaugh Apparatus for sensing the presence of a wafer
US6517130B1 (en) * 2000-03-14 2003-02-11 Applied Materials, Inc. Self positioning vacuum chuck

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4915564A (en) * 1986-04-04 1990-04-10 Materials Research Corporation Method and apparatus for handling and processing wafer-like materials
US5626675A (en) * 1993-11-18 1997-05-06 Tokyo Electron Limited Resist processing apparatus, substrate processing apparatus and method of transferring a processed article

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2016080615A1 (ko) * 2014-11-21 2016-05-26 (주)씨앤아이테크놀로지 반도체 패키지의 전자파 차폐막 형성을 위한 점착패드 제조장치 및 이를 이용한 점착패드 제조방법
KR20160061063A (ko) * 2014-11-21 2016-05-31 (주) 씨앤아이테크놀로지 반도체 패키지의 전자파 차폐막 형성을 위한 점착패드 제조장치 및 이를 이용한 점착패드 제조방법
KR101666786B1 (ko) * 2014-11-21 2016-10-17 (주) 씨앤아이테크놀로지 반도체 패키지의 전자파 차폐막 형성을 위한 점착패드 제조장치 및 이를 이용한 점착패드 제조방법

Also Published As

Publication number Publication date
WO2000048234A1 (en) 2000-08-17
DE69924040T2 (de) 2006-02-09
CN1155053C (zh) 2004-06-23
EP1224691A1 (en) 2002-07-24
IT1308606B1 (it) 2002-01-08
DE69924040T8 (de) 2006-04-27
EP1224691B1 (en) 2005-03-02
US6648974B1 (en) 2003-11-18
CN1334959A (zh) 2002-02-06
DE69924040D1 (de) 2005-04-07
ITMI990281A1 (it) 2000-08-12
HK1042165A1 (zh) 2002-08-02
KR20010110435A (ko) 2001-12-13
ATE290253T1 (de) 2005-03-15
JP2002536201A (ja) 2002-10-29

Similar Documents

Publication Publication Date Title
KR100623170B1 (ko) 플랫 디스크형 서스셉터를 구비한 에픽택셜 유도 반응기 내에서 셀프-레벨링 진공 시스템에 의해 기판을 핸들링하는 장치 및 그 작동 방법
US5669644A (en) Wafer transfer plate
US4886412A (en) Method and system for loading wafers
KR100591025B1 (ko) 웨이퍼 이송 방법 및 그 제조 방법과 고 진공 웨이퍼 처리장치
JP4358108B2 (ja) コーティング装置における搭載、支持及び取り出しのための一組の器具
TWI462145B (zh) 直立式熱處理裝置及直立式熱處理方法
WO2007129558A1 (ja) 基板搬送装置及び縦型熱処理装置
US11842917B2 (en) Process kit ring adaptor
JP4570037B2 (ja) 基板搬送システム
JP2008187017A (ja) 縦型熱処理装置及び縦型熱処理方法
US5476359A (en) Robotically loaded epitaxial deposition apparatus
JP2002517088A (ja) 半導体ウエハハンドリング用バッチ式エンドエフェクタ
JPS62188336A (ja) サスセプタ上のウエハの自動ロ−デイング及びアンロ−デイング方法及び装置
JPH0661331A (ja) 基板搬送装置
JP3458083B2 (ja) 基板収納治具搬送システム
JP2583675Y2 (ja) 薄膜気相成長装置
JPH07169819A (ja) 基板移載方法
JP2000243804A (ja) 半導体ウェーハの移載方法と装置
JPH0312751Y2 (ko)
JPH07335714A (ja) ウェーハ搬送プレート
JPS62169347A (ja) 処理済ウエーハを所望の真空状態下で保管する方法
TW202401639A (zh) 異常偵測方法及搬運裝置
CN115692276A (zh) 一种晶圆传送装置、气相沉积系统及使用方法
WO2021016115A1 (en) Multi-object capable loadlock system
JPH01194317A (ja) 半導体ウエハの保持治具

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee