DE69924040T2 - Vorrichtung und verfahren zum handhaben von substraten mittels eines selbstgleichsetzungs-vakuumsystems in epitaxie-induktionreaktoren - Google Patents

Vorrichtung und verfahren zum handhaben von substraten mittels eines selbstgleichsetzungs-vakuumsystems in epitaxie-induktionreaktoren Download PDF

Info

Publication number
DE69924040T2
DE69924040T2 DE69924040T DE69924040T DE69924040T2 DE 69924040 T2 DE69924040 T2 DE 69924040T2 DE 69924040 T DE69924040 T DE 69924040T DE 69924040 T DE69924040 T DE 69924040T DE 69924040 T2 DE69924040 T2 DE 69924040T2
Authority
DE
Germany
Prior art keywords
disc
hand
arm
discs
vacuum
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE69924040T
Other languages
English (en)
Other versions
DE69924040D1 (de
DE69924040T8 (de
Inventor
Vincenzo Ogliari
Vittorio Pozzetti
Franco Preti
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
LPE SpA
Original Assignee
LPE SpA
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by LPE SpA filed Critical LPE SpA
Publication of DE69924040D1 publication Critical patent/DE69924040D1/de
Publication of DE69924040T2 publication Critical patent/DE69924040T2/de
Application granted granted Critical
Publication of DE69924040T8 publication Critical patent/DE69924040T8/de
Active legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6838Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping with gripping and holding devices using a vacuum; Bernoulli devices
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/141Associated with semiconductor wafer handling includes means for gripping wafer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/907Continuous processing

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Liquid Deposition Of Substances Of Which Semiconductor Devices Are Composed (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • Apparatus Associated With Microorganisms And Enzymes (AREA)

Description

  • Die vorliegende Erfindung bezieht sich auf eine Vorrichtung zum Handhaben von Halbleiterscheiben, die zur chemischen Dampfablagerung (chemical vapour deposition – CVD) von Halbleitermaterial auf den Scheiben verwendet werden kann, und ein Verfahren zum Handhaben von Halbleiterscheiben in der Vorrichtung. Sie besitzt eine Anwendung bei einem Epitaxialreaktor und insbesondere bei einem Epitaxialreaktor zum Ausführen der chemischen Dampfablagerung (CVD) von Materialien auf die Scheiben, bevorzugt Siliziumsubstrate, die bei der Herstellung von Halbleiterbauteilen wie Chips für integrierte Schaltungen, verwendet werden.
  • Insbesondere kann die vorliegende Erfindung bei Epitaxialreaktoren verwendet werden, wie sie durch die internationale Patentanmeldung WO 96/10659, eingereicht am 14. September 1995, mit dem Titel " Epitaxial Reactor provided with flat disk-shaped Aufnehmer and having a gas flow parallel to the substrates" abgedeckt sind. Mit Hilfe der vorliegenden Vorrichtung wird der obengenannte Epitaxialreaktor ein Reaktor vom "Kassette-zu-Kassette"-Typ, da die Kassetten, welche die noch unverarbeiteten Substrate enthalten, innerhalb des Reaktors positioniert werden, und während eines Produktladezyklus wird ein erster mechanisierter Arm oder Roboter zum Transportieren der Substrate von einem Speicherregal oder einer "Kassette" zu einer Spülkammer verwendet, und ein zweiter mechanisierter Arm oder Roboter, der extern eine Greif- und Transporteinrichtung trägt, wird zum Transportierender Substrate von der Spülkammer zu dem Aufnehmer (Susceptor) verwendet, wobei während eines Entladezyklus der zweite Roboter die Substrate von dem Aufnehmer (Susceptor) zu der Spülkammer transportiert und anschließend der erste Roboter die Substrate, die eine Verarbeitung durchlaufen haben, von der Spülkammer zu einer der Kassetten transportiert, was alles ohne manuellen Eingriff einer Bedienperson geschieht, welche den Betrieb des Reaktors überwacht.
  • Die Erfindung kann insbesondere auf kaltwandige CVD-Systeme angewendet, bevorzugt auf Reaktoren, die in der Lage sind, ein Epitaxialwachstum auf Substraten oder Siliziumscheiben bereitzustellen, die bei der Herstellung von Halbleitergeräten mittels einer Ablagerung unter Einsatz einer Chlorosilan-Dampfpyrolyse verwendet werden.
  • Die wirtschaftlich am weitesten verbreiteten Epitaxialreaktoren können in zwei Hauptkategorien eingeteilt werden:
    • a) Einzelscheibenreaktoren, die in der Lage sind, eine einzelne Scheibe gleichzeitig zu verarbeiten; und
    • b) Stapelverarbeitungsreaktoren, die in der Lage sind, eine Mehrzahl von Substraten oder Scheiben gleichzeitig zu verarbeiten.
  • Die für die obengenannten Reaktoren verwendeten Heizsysteme können in zwei Arten eingeteilt werden: Heizsysteme vom Lampentyp und Heizsysteme mit Mittel- oder Hochfrequenzinduktion.
  • Die Stapelverarbeitungsreaktoren, welche auf industriellem Niveau am weitesten verbreitet sind, gibt es im wesentlichen in zwei Arten: solche, die das sogenannte "Tonnen"-System ("barrel" system) nutzen, d.h. mit einem prismatischen oder pyramidenstumpfförmigen Aufnehmer (Susceptor), und solche, die das "Pfannkuchen"-System ("pancake" system) nutzen, mit einem im wesentlichen ebenen, scheibenförmigen Aufnehmer (Susceptor).
  • Stapelverarbeitungsreaktoren sind gegenwärtig typischerweise vom manuellen Beladungstyp, während Einzelscheibenreaktoren vom automatischen Beladungstyp sind.
  • Bei automatisch beladenen Reaktoren kann das Substrat oder die Scheibe auf unterschiedlichen Arten gehandhabt werden, die beide Vorteile und Nachteile bieten. Eine Handhabung der Substrate ist im Gebiet der Halbleiter und insbesondere bei Epitaxialreaktoren kritisch, wo es temperaturbezogene Probleme und durch Partikalkontamination entstehende Probleme gibt.
  • Im allgemeinen besitzt jedes Substrat oder jede Scheibe eine untere Seite (Rückseite), eine obere Seite (Vorderseite) und eine Seitenwand (Rand). Die Abmessungen der Vorderseite und der Rückseite liegen normalerweise zwischen 75 und 300 mm und sogar bis zu 400 mm, während die Abmessungen des Randes kleiner als oder nahe bei 1 mm liegen. Die Vorderseite ist der wichtigste Teil einer Scheibe, da dies der Teil ist, wo der chemische Reaktionsprozess, d.h. die Ablagerung, stattfindet.
  • Aus dem obengenannten Grund ist es wichtig, jeglichen Kontakt zwischen der Vorderseite und jegliche Art von Werkzeug, das zur Handhabung verwendet wird, zu vermeiden, da jeglicher Kontakt, selbst im geringsten Umfang, Imperfektionen im Kristallgitter verursacht. Falls die Imperfektionen während des Beladens gebildet werden, werden sie durch die nachfolgende Wärmebehandlung vergrößert; allerdings müssen auch während des Entladens eingeführte Imperfektionen vermieden werden.
  • Im Grundsatz kann die Aussage getroffen werden, dass ein Kontakt, selbst zufälliger Natur, mit der Vorderseite der Scheibe zu keiner Zeit zulässig ist. Andererseits ist innerhalb bestimmter Grenzen ein Kontakt mit der Rückseite und mit dem Rand der Scheibe zulässig.
  • Daher ist es, um eine Scheibe zu bewegen, möglich, über die Vorderseite (allerdings ohne jeglichen direkten Kontakt), die Rückseite oder den Rand zu agieren.
  • Im Grundsatz gibt es nur ein System, welches die Handhabung von der Vorderseite ohne einen Kontakt zwischen Werkzeug und Scheibe ermöglicht, und dies ist das auf der Bernouilli-Wirkung basierende System, bei welchem es durch Bereitstellen eines geeigneten Greifwerkzeugs (Endeffektor) möglich ist, durch Einblasen eines gefilterten inerten Gases zu der Vorderseite der Scheibe eine Anziehungswirkung für die Scheibe zu erzeugen, welche in der horizontalen Position ausreichend ist, um das Gewicht der Scheibe zu überwinden, wodurch diese gehalten wird.
  • Allerdings tritt ein zufälliger Kontakt zwischen den Rändern der Scheiben und einigen festen Punkten des Werkzeugs notwendigerweise auf, da in der Abwesenheit einer Lagerung und somit Reibung es erforderlich ist, einige feste Punkte zum Fixieren der Scheibe unter dem Greifwerkzeug zu besitzen, obgleich diese Tatsache nicht besonders negativ ist.
  • Während des Entladens ist es, um die Scheibe von dem Hohlraum anzuheben, innerhalb dessen sie sitzt, erforderlich, zusätzlich zu deren Eigengewicht auch ein geringes Vakuum zu überwinden, welches zwischen dem Hohlraum und der Scheibe gebildet ist. Da dies mittels der Bernouilli-Wirkung alleine nicht möglich ist, ist es erforderlich, die Ausbildung dieses Vakuums zu vermeiden, beispielsweise mittels eines Netzwerks dünner Kanäle, die in dem Hohlraum unter der Scheibe gebildet sind. Diese Technik ist hervorragend, ist jedoch für Lampenerwärmte Reaktoren besser geeignet, als für Induktionserwärmte Reaktoren, da die Anwesenheit nicht-leitender Kanäle in der Graphitmasse des Aufnehmers (Susceptors) die Gleichmäßigkeit der Erwärmung der Scheiben nachteilig beeinträchtigen würde. Darüber hinaus ist diese Technik nicht besonders mit Reaktoren vom Stapelverarbeitungstyp kompatibel, da obgleich ein Strom inerten Gases dazu beiträgt, die Vorderseite der Scheibe während der Handhabung sauber zu halten, es wahrscheinlich schädlich für die benachbarten Scheiben ist, insbesondere falls die Handhabung in der Nähe des Aufnehmers stattfindet, da der Gasstrom jegliche Staubpartikel bewegt, die bei der Bewegung vorhanden sind.
  • Ein weiteres System besteht aus der Handhabung der Scheiben von der Rückseite, obgleich es das Problem gibt, dass die Rückseite der Scheibe zugänglich ist, wenn letztere innerhalb der Kassette ist, jedoch nicht mehr zugänglich ist, wenn die Scheibe auf dem Aufnehmer (Susceptor) gelegen ist. Um diesen Nachteil zu überwinden, ist es möglich, Durchgangslöcher in dem Aufnehmer zu bilden und die Scheibe erforderlichenfalls mittels kleiner Lagerungen anzuheben, welche durch die Löcher verlaufen und in der Lage sind, sich nach oben zu bewegen, um das Anheben durchzuführen, und sich nach unten zu bewegen, um ein Absetzen der Scheibe in dem Aufnehmer Susceptor) zu ermöglichen. Tatsächlich werden während des Ladezyklus die Lagerungen angehoben und die Scheiben auf diesen abgelegt. Dann werden die Lagerungen abgesenkt und die Scheiben werden in den entsprechenden Sitzen an dem Aufnehmer (Susceptor) abgesetzt. Während des Entladezyklus werden die Lagerungen zusammen mit den Scheiben angehoben; eine Zunge oder ein Greifwerkzeug (Endeffektor), das aus einem geeigneten Material hergestellt ist, wird dann unterhalb der Scheibe eingeführt, und letztere wird entnommen. Falls eine größere Stabilität erforderlich ist, ist es möglich, die Scheibe durch Aufbringen eines geringen Vakuums zwischen Scheibe und Greifwerkzeug zu bremsen. Allerdings kann diese Technik, obgleich sie effektiv ist, in der Praxis nur angemessen bei Reaktoren vom Lampen-erwärmten Typ angewendet werden, während sie vermutlich für Induktions-erwärmte Reaktoren unakzeptabel ist, da die in dem Graphit des Aufnehmers (Susceptors) gebildeten Löcher zu einem ungleichmäßigen Stromfluss und somit einer Erwärmung führen würden.
  • Ein weiteres bekanntes System ist dasjenige, welches ermöglicht, dass die Scheibe entlang ihres äußeren Durchmessers oder Randes an zwei oder mehr Punkten unter Einsatz beweglicher Greifsysteme wie mechanischen Greifern gegriffen wird. Allerdings kann dieses System nicht leicht verwirklicht werden, da es eine spezielle Bearbeitung des Hohlraums oder der Hohlräume des Aufnehmers (Susceptors) erfordert, die nicht vollständig kompatibel mit dem Induktionserwärmungssystem sind. Schließlich ist, wie bereits erwähnt, jeglicher direkter Kontakt mit der Vorderseite der Scheibe nicht zulässig.
  • Es gibt allerdings Systeme, die in der Lage sind ein Greifen der Scheibe von der Vorderseite auszuführen, wobei der Kontakt auf einen oder mehrere Bereiche an ihrer Umfangszone begrenzt ist. Die Scheibe wird durch ein Vakuumsystem mittels einer zwischen der Scheibe und einem Greifwerkzeug gebildeten Kammer gehalten. In diesem Falle ist die Hebekraft allerdings auf den Oberflächenkontaktbereich mit dem äußeren Rand der Scheibe begrenzt, und selbst ein geringster Fehler in der Positionierung zwischen der Scheibe und dem Greifwerkzeug führt zu einem kleineren bzw. größeren Kontaktflächenbereich mit der Gefahr eines Mangels des Greifens der Scheibe oder einer Zunahme von Defekten infolge des direkten Kontakts zwischen dem Werkzeug und den Scheiben. Als Schlussfolgerung besitzt dieses System nicht eine optimale Natur aufgrund des übermäßig großen Kontaktflächenbereichs zwischen Werkzeug und Vorderseite der Scheibe.
  • Es ist Aufgabe der vorliegenden Erfindung, eine verbesserte, automatisierbare Vorrichtung bereitzustellen, die ermöglicht, dass Halbleiterscheiben zu einem Epitaxialreaktor zugeführt und von diesem entnommen werden können, wie er in der internationalen Patentanmeldung WO 96/10659 beschrieben ist, die einen Epitaxialreaktor abdeckt, der mit einem ebenen, scheibenförmigen Aufnehmer (Susceptor) ausgestattet ist und einen zu den Substraten parallelen Gasstrom besitzt.
  • Die Erfindung stellt eine Vorrichtung nach Anspruch 1 und Verfahren nach den Ansprüchen 12 und 13 bereit. Bevorzugte Ausführungsformen sind in den abhängigen Ansprüchen angegeben.
  • Bei einer spezifischen Anwendung kann der Betrieb der Vorrichtung die folgenden Schritte aufweisen:
    • – Positionieren von Gestellen oder Kassetten, welche die zu wachsenden Scheiben enthalten, innerhalb des Reaktors;
    • – Laden des Produkts, wo die Scheiben innerhalb der Reaktionskammer übertragen werden, wie nachfolgend ausführlicher beschrieben;
    • – kurzes Wasserstoffspülen innerhalb der Reaktionskammer;
    • – derartiges Erwärmen, um den Aufnehmer und die Scheiben auf die geeignete Temperatur zu bringen;
    • – Verarbeitungszyklus wie durch die relevante Spezifikation erfordert;
    • – Abkühlen auf eine mit dem Entladeschritt verträgliche Temperatur; und
    • – Entladen der gewachsenen Scheiben und Transport zurück in die Kassetten, wie nachfolgend ausführlicher beschrieben.
  • Die Lade- und Entladeschritte werden bei Temperaturen ausgeführt, die mit dem das Greifwerkzeug bildenden Material verträglich sind.
  • Jeder Wachszyklus kann durch weitere Wachszyklen oder durch einen sogenannten Ätzzyklus gefolgt sein, wobei die Scheiben nicht eingeladen sind und die folgenden Vorgänge ausgeführt werden:
    • – kurzes Spülen mit Wasserstoff in der Reaktionskammer;
    • – derartiges Erwärmen, um den Aufnehmer auf die geeignete Ätztemperatur zu bringen;
    • – Ätzzyklus wie durch die relevante Spezifikation erfordert; und
    • – Abkühlen auf eine mit dem Einladen von Scheiben nach dem Ätzschritt verträgliche Temperatur.
  • Der den Gegenstand der obengenannten internationalen Patentanmeldung WO 96/10659 bildende Epitaxialreaktor ist vom sogenannten "Pfannkuchen"-Typ ("pancake" type), das heißt mit einem scheibenförmigen Aufnehmer, der induktionserwärmt wird, so dass keines der oben veranschaulichten Systeme effektiv genutzt werden kann.
  • Um die obengenannten Nachteile zu überwinden, kann eine Lösung eingesetzt werden, die aufweist:
    • – eine erste Reaktorzone zum sogenannten Reinraum, die dazu vorgesehen ist, die die zu verarbeitenden und die bereits verarbeiteten Scheiben enthaltenen Kassetten aufzunehmen, wobei dieser Teil des Reaktors in einer Luftatmosphäre sein kann oder alternativ eine Kammer zum Spülen mit einem inerten Gas vorsehen kann, zumindest bei der Umgebungstemperatur für das Silizium der zu verarbeitenden Scheibe (ein inertes Gas, selbst ein kostengünstiges wie Stickstoff, kann gegenüber Luft bevorzugt sein). Unten wird der einfachere Fall einer Luftatmosphäre beschrieben, wobei weder besonders effiziente Gasabdichtungen noch ein verlängertes Waschen mit inertem Gas erforderlich ist. In dem Falle, in welchem Luft verwendet wird, wird nur ein sogenannter Absolutfilter für die Luft hinzugefügt, um die Luftatmosphäre soweit wie möglich frei von Festpartikeln (Staub) zu halten. Darüber hinaus ist dieselbe erste Zone des Reaktors mit zwei Türen versehen, die zu jeglicher Zeit geöffnet werden können, um die Entnahme der die Scheiben enthaltenden Kassetten zu ermöglichen, wobei ein Öffnen der Türen nicht besonders lange Spül- oder Waschzyklen mit inerten Gasen erfordert. Sollte allerdings, als eine Alternative, ein Verarbeiten der Scheiben selbst geringste Defekte infolge einer lokalen Oxidation der Scheibe unannehmbar machen, kann die erste Zone des Reaktors mit abgedichteten Türen und einem System zum Spülen auch mittels einer pneumatischen Extraktionspumpe und einer Einführung von Gas ausgestattet werden, um die Aussetzung der Scheibe gegenüber Luft und dementsprechend die Defekte zu minimieren. Ein erster, als äußerer Roboter bezeichneter Roboter, der die Handhabung der Scheiben steuert, ist vorhanden, wobei die Scheiben von der Rückseite gehandhabt werden, da die vorliegenden Kassetten, die handelsüblich verfügbar sind, genau derart aufgebaut sind, um diese Art der Handhabung zu ermöglichen. Ein Greifwerkzeug des äußeren Roboters, das ebenso handelsüblich verfügbar ist, transportiert die Scheiben, wobei es diese in ihrer Position mittels einer kleinen Vakuumquelle gedrückt hält, die in dem Roboter verfügbar ist.
  • Das Betriebsprinzip der oben genannten Lösung wird nachfolgend erläutert.
  • Während des Ladens wird eine Scheibe von der entsprechenden Kassette entnommen und wird in einer Ausricht- und Zentrierstation positioniert, die ebenso innerhalb des äußeren Roboters aufgebaut sein kann. Dabei wird die Scheibe unter dem gewünschten Winkel ausgerichtet und die Position ihres geometrischen Zentrums wird derart berechnet, um ein nachfolgendes präzises Greifen zu ermöglichen. Die Scheibe wird dann innerhalb der Spülkammer auf der Oberseite einer Quarzscheibe positioniert, die als Lagerung dient und derart geformt ist, um eine Handhabung mittels des handelsüblichen Greifwerkzeugs des äußeren Roboters zu ermöglichen. Die Quarzscheibe besitzt verglichen mit der Scheibe eine relativ große Masse, um während des Entladens besser ihre Wärme abzuführen.
  • Die Zugangstür wird geschlossen und die Spülkammer wird mit einem inerten Gas gewaschen, um jegliche Spur von Luft vollständig zu beseitigen, und falls erforderlich kann das Waschen durch einen Vakuuumextraktionszyklus unterstützt werden, um den Spülvorgang zu beschleunigen. An diesem Punkt wird die den Zugang zu der Kammer, wo der innere Roboter arbeitet, ermöglichende Tür geöffnet, wobei die Kammer stets in einer Inertgasatmosphäre arbeitet und, außer während Wartungsvorgängen, niemals Luft ausgesetzt ist.
  • Das Greifwerkzeug oder die Hand des inneren Roboters ermöglicht, dass die Scheiben geeignet mittels eines sehr begrenzten Kontakts mit ihren Rändern gehandhabt werden. In der Tat besitzt jede Scheibe einen angestreckten Teil oder Rand, der sich über etwa 1 mm erstreckt. Der Teil des Greifwerkzeugs, der in Kontakt mit dem Rand der Scheibe ist, ist derart geformt, um die Kontaktzone allein auf die Anschrägung des Randes zu begrenzen, der sich über 1 mm erstreckt, und ist aus einem geeigneten Material wie Quarz hergestellt. Dieser Teil der Scheibe ist allerdings nicht bei der Herstellung integrierter Schaltungen nützlich, und daher ist diese Lösung nicht für die Qualität des Endprodukts schädlich. Darüber hinaus ist das Greifwerkzeug oder die Hand mit dem Arm des inneren Roboters mittels eines strukturellen Rohrs verbunden, das zwei Funktionen besitzt:
    • – die erste ist, dass der Roboterarm derart erstreckt wird, um die Position des Aufnehmers innerhalb der Reaktionskammer zu erreichen;
    • – die zweite ist, dass mittels einer Artikulation oder durch Ausnutzen der Flexibilität des Arms ein bestimmtes Mass der Selbstausrichtung zwischen dem Werkzeug, oder der Hand, und der Scheibe erzielt wird, was während Vorgängen genutzt wird, die ein Anheben der Scheibe mit sich bringen.
  • Ein Anheben der Scheibe wird durch ein bestimmtes Vakuum sichergestellt, das durch eine hierzu vorgesehene pneumatische Maschine erhalten wird. Das Vakuum wird auf die Scheibe mittels einer Reihe von Löchern übertragen, die entlang des Umfangs des Greifwerkzeugs verteilt sind und in der Rundzone der Scheibe konzentriert und in einer ebenen Zone oder vertieften Kerbenzone, die zur Identifikation und Ausrichtung der jeweiligen verwendet wird, abwesend ist, wobei die ebene Zone bei Scheiben mit einem Durchmesser von bis zu 150 mm bevorzugt ist, während im Falle von Scheiben mit größerem Durchmesser die vertiefte Kerbenzone bevorzugt ist. Da jede Unregelmäßigkeit in der Form der Scheibe eine lokale Verminderung der Wirkungen des Vakuums verursacht, ist es erforderlich, diese Verminderung mit einer geeignet berechneten Konzentration von Sauglöchern in dem Greifwerkzeug auszugleichen.
  • Während des Beladens rotiert der Aufnehmer und positioniert mittels eines an sich bekannten Bezugssystems korrekt den zu beladenden Hohlraum. Diese Positionierung kann mittels optischer Systeme ausgeführt werden, die an sich bekannt sind. Dann wird die Scheibe in die Reaktionskammer eingeführt und oberhalb eines geeigneten Hohlraums des Aufnehmers positioniert. Der innere Roboter bewegt sich etwas nach unten, bringt die Scheibe in Kontakt mit dem Hohlraum und gibt, wenn das Vakuum entfernt wird, die Scheibe frei, die in den Hohlraum geladen wird. Die Ladeschritte werden wiederholt, bis alle in dem Aufnehmer vorhandenen Hohlräume belegt sind. Dann wird die geplante Verarbeitung der Scheiben begonnen.
  • Von all den optischen Systemen ist es möglich, ein Lasertelemetersystem zu verwenden, welches den Abstand zwischen einen Laserstrahler und dem betreffenden Aufnehmer misst, was ein Analogsignal erzeugt, welches proportional zu dem Abstand ist. Beispielsweise trägt der Lasertelemeter dazu bei, Defekte in der Parallelpositionierung des Aufnehmers mittels eines Scanalgorithmus und einer nachfolgenden Korrektur durch mechanische Mittel, die an sich bekannt sind (beispielsweise Mikrometerschrauben) zu beseitigen. Diese Parallelpositionierung ist wesentlich zum Sicherstellen einer Gleichmäßigkeit der Epitaxialablagerungen auf den Scheiben.
  • Sobald die geplante Verarbeitung der Scheiben abgeschlossen worden ist, ist es erforderlich, diese von dem Aufnehmer zu entladen. Um dies zu tun ist es, nach einem Abwarten, dass der Aufnehmer eine geeignete Temperatur erreicht, welche eine Entnahme der Scheiben von der Reaktionskammer ohne Beschädigung ermöglicht, erforderlich, erneut den inneren Roboter zu nutzen, welcher jede Scheibe von dem entsprechenden Hohlraum des Aufnehmers entnimmt und diese in die Kühlkammer transportiert, wo sie auf die Quarzscheibe geben wird, welche diese mit ihrer großen Masse kühlt. Nach einem ausreichenden Kühlen wird die Scheibe durch den äußeren Roboter zu einem Sitz einer der Kassetten übertragen, welche in der ersten Zone des Reaktors gelegen sind.
  • Bevorzugte Merkmale der vorliegenden Erfindung werden nun beschrieben: der Arm ist bevorzugt röhrenförmig und hohl, wobei er auf einer Seite mittels eines flexiblen Rohrs mit einer Vakuumquelle und auf der anderen Seite mit einem innerhalb der Hand gebildeten Sitz derart verbunden ist, um ein Vakuum zwischen einer unteren Seite der Hand und einer unterhalb der Hand vorhandenen Scheibe aufzubringen.
  • Die Artikulationsmittel sind bevorzugt zwischen dem hohlen, röhrenförmigen Arm und dem artikulierten (gelenkigen) Arm des inneren Roboters angeordnet, wobei die Mittel ermöglichen, dass der hohle, röhrenförmige Arm derart angehoben und abgesenkt wird, um die Hand oberhalb und unterhalb einer durch den artikulierten Arm definierte Ebene zu bringen.
  • Zusätzlich sind die Artikulationsmittel bevorzugt zwischen dem hohlen, röhrenförmigen Arm und dem artikulierten Arm des inneren Roboters angeordnet, wobei die Mittel ebenso eine Rotation des hohlen, röhrenförmigen Armes um seine Längsachse ermöglichen.
  • Die Artikulationsmittel umfassen bevorzugt ein Traglager, das an dem artikulierten Arm befestigt ist und einen Drehstift trägt, um welchen die Artikulationsmittel rotieren, und eine Einstellschraube zum Fixieren der zulässigen Höhen zum Anheben und Absenken der Hand in Bezug auf die Ebene des artikulierten Arms, so dass die Hand in der Radialrichtung auf dem scheibenförmigen Aufnehmer nur mit dem vorderen Teil, nur mit dem hinteren Teil oder genau zu dem Aufnehmer ausgerichtet ruhen kann.
  • Zusätzlich können die Artikulationsmittel ebenso um den hohlen, röhrenförmigen Arm herum an die Reibungshülsen zum Ermöglichen einer Ausrichtung der Hand in einer Richtung senkrecht zu dem Radius des scheibenförmigen Aufnehmers aufweisen.
  • Besonders bevorzugt ist die Hand in der Form einer Scheibe mit einem Durchmesser, der größer ist als der Durchmesser der zu handhabenden Scheibe, und besitzt einen unteren, der Scheibe zugewandten Teil, der mit einer Vertiefung versehen ist, welche nur mit dem äußeren Umfangsrand der Scheibe in Eingriff kommt.
  • Die Vertiefung ist bevorzugt in einem unteren Teil der Hand vorhanden und ist mit einer Mehrzahl von Umfangslöchern versehen, die mit einer Kammer innerhalb der Hand verbunden sind, welche wiederum mit dem hohlen, röhrenförmigen Arm derart verbunden ist, um ein Vakuum zwischen der Scheibe und der Hand zuzuführen.
  • Am meisten bevorzugt sind die Umfangslöcher hauptsächlich dort konzentriert, wo die größten Vakuumverluste zu erwarten sind.
  • Bei einer besonderen Ausführungsform wird ein Lasertelemeter zum Messen eines Abstands zwischen einem Laserstrahler und dem scheibenförmigen Aufnehmer der betreffenden Reaktionskammer verwendet, was ein zu dem Abstand proportionales Analogsignal erzeugt, wobei der Telemeter Defekte in der Niveauanordnung des Aufnehmers sowie Defekte in der Parallelpositionierung des Aufnehmers in Bezug auf die Reaktionskammer erfasst.
  • Ferner kann eine in dem äußeren Rand des Aufnehmers gebildete Kerbe als Winkelbezugspunkt eingesetzt werden, der durch den Lasertelemeter erfasst werden kann, und die vertieften Sitze für die Scheiben werden ausgehend von dieser Kerbe gezählt.
  • Die Merkmale der vorliegenden Erfindung sind in den Ansprüchen definiert, welche den abschließenden Teil der Beschreibung bilden. Allerdings werden weitere Merkmale und Vorteile anhand der nachfolgenden ausführlichen Beschreibung eines Beispiels einer Ausführungsform derselben ersichtlich werden, welche anhand eines nicht begrenzenden Beispiels gegeben wird, wobei:
  • 1 ist eine geschnittene Draufsicht eines scheibenförmigen Epitaxialreaktors, der durch einen äußeren Roboter und einen inneren Roboter gemäß einer Ausführungsform der Erfindung bedient wird;
  • 2 ist eine geschnittene und teilweise Seitenansicht eines Greifwerkzeugs oder einer Hand, die an dem Ende eines ausfahrbaren Arms des inneren Roboters gelegen ist, der einen Teil der vorliegenden Erfindung bildet;
  • 3 ist eine geschnittene und teilweise Draufsicht des Greifwerkzeugs bzw. der Hand;
  • 4 ist eine teilweise, geschnittene und vergrößerte Ansicht des Details der Hand, das in 2 eingekreist ist, und ist dazu vorgesehen, zu zeigen, wie die Hand mit der zu transportierenden Scheibe aus Halbleitermaterial in Eingriff gelangt;
  • 5 bis 8 sind geschnittene und teilweise Seitenansichten, die eine Annäherungssequenz zu einem vertieften Sitz des Aufnehmers zeigt, und zwar der Greifeinrichtung des inneren Roboters, welche eine Halbleiterscheibe derart trägt, um die Scheibe in den vertieften Sitz des Aufnehmers abzuladen;
  • 9 bis 12 sind geschnittene und teilweise Seitenansichten, die eine Sequenz zeigen, bei der die Greifeinrichtung von der in den vertieften Sitz des Aufnehmers geladenen Scheibe gelöst ist;
  • 13 bis 16 sind geschnittene und teilweise Seitenansichten, die eine Annäherungssequenz der Greifeinrichtung des Roboters zu einer in einem vertieften Sitz des Aufnehmers vorhandenen Halbleiterscheibe, um die Scheibe zu entnehmen, zeigen; und
  • 17 bis 20 sind geschnittene und teilweise Seitenansichten, die eine Sequenz zeigen, bei welcher die Halbleiterscheibe von dem vertieften Sitz des Aufnehmers gelöst und entnommen wird.
  • Zunächst werde 1 betrachtet, die einen Epitaxialreaktor 20 mit einer Reaktionskammer 22 zeigt, welche durch verschiedene Roboter zum Platzieren und Entnehmen von Scheiben 24a-e aus Halbleitermaterial in/aus einem scheibenförmigen Aufnehmer 26 bedient wird, welcher mit vertieften Sitzen 28a-e versehen ist, die Abmessungen zum Aufnehmen der Scheiben 24a-e besitzen.
  • Da die Scheiben 24a-e in den vertieften Sitzen 28a-e der in der Reaktionskammer 22 vorhandenen Scheibe 26 platziert und von diesen entnommen werden müssen, ohne manuell betätigt zu werden, oder zu diesem Zweck ein sogenannter innerer Roboter 30 vorgesehen, wobei der Roboter 30 einen röhrenförmigen Arm 64 aufweist, der in einem Greifwerkzeug oder einer Hand 70 endet, das bzw. die einen Teil des Gegenstands der Erfindung bildet, und es wurde ein sogenannter äußerer Roboter 32 vorgesehen. Der innere Roboter 30 verbleibt zwischen der Reaktionskammer 22 und einer Spülkammer 34 gelegen, welche die Funktion des Verarbeitens von Scheiben 24a-e besitzt, die zwischen einer ersten Zone oder Speicherzone 36 für Scheiben und dem inneren Roboter 30 und umgekehrt von dem inneren Roboter 30 zu der ersten Speicherzone 36 passieren.
  • Die Speicherzone 36 ist mit zwei Kassetten 38 und 40 versehen, welche die Funktion des Enthaltens von Scheiben aus Halbleitermaterial besitzen, welche die Verarbeitung in der Reaktionskammer 22 durchlaufen sollen, oder die Scheiben aus Halbleitermaterial enthalten, welche die Verarbeitung in der Reaktionskammer 22 durchlaufen haben. Darüber hinaus enthält die Speicherzone 36 einen artikulierten (gelenkigen) Arm 42, der in einer Greifeinrichtung 44 vom Vakuumtyp endet, welche die Funktion des Sicherstellens des Transports der Scheiben 24 von der Kassette 38 zu der Spülkammer 34 und umgekehrt von der Spülkammer 34 zu der Kassette 40 besitzt. Der gelenkige Arm 42 ist bereits an sich bekannt.
  • Die Spülkammer 34 umfasst eine erste, hermetisch abgedichtete Tür 50 vom Schnellwirkungstyp, wie eine Guillotinetür, eine zweite hermetisch abgedichtete Tür 52 vom selben Typ wie die Tür 50, und eine Scheibe 54 zum Lagern der Scheiben 24, welche in die Spülkammer 34 eintreten. Die Scheibe 54 ist bevorzugt aus Quarz hergestellt, um einen guten Verschleißwiderstand und im wesentlichen keine Kontamination sicherzustellen und ist mit einer Vertiefung 55 zum Aufnehmen der Greifeinrichtung 44, wenn diese in die Spülkammer 34 eintritt, um eine Scheibe 24 abzulegen oder zu entnehmen, ausgestattet. Die Scheibe 54 besitzt verglichen mit der Scheibe eine relativ große Masse, um besser deren Wärme während der Abkühlphase abzuführen. Die Spülkammer 34 besitzt die Funktion des Vorbereitens der Scheiben 24, um von der im wesentlichen staubfreien Atmosphäre der Speicherzone 36, die Luft oder ein anderes einfaches inertes Gas, wie Stickstoff, sein kann, zu derjenigen der Reaktionskammer 22, welche hauptsächlich aus Wasserstoff besteht, und umgekehrt von der Atmosphäre der Reaktionskammer 22, die im wesentlichen aus Wasserstoff besteht, zu derjenigen der Speicherzone 26 zu passieren, da es wünschenswert ist, ein Einführen von Gasen, die mit Wasserstoff reagieren, in die Reaktionskammer und ein Freisetzen in die Atmosphäre des Wasserstoffs und der Gase, die in der Reaktionskammer während der CVD-Vorgänge gebildet werden und die giftig oder ein Reizmittel sein können, wie beispielsweise HCl, zu vermeiden.
  • Der innere Roboter 30 ist in einer abgedichteten Kammer 56 enthalten, die zusätzlich zu der hermetisch abgedichteten Tür 52, welche mit der Spülkammer 34 in Verbindung steht, auch mit einer weiteren hermetisch abgedichteten Tür 57 versehen ist, die mit der Reaktionskammer 22 in Verbindung steht, und umfasst einen gelenkigen Arm 58, der an seinem äußeren Ende eine Greif- und Transporteinrichtung 60 besitzt, welche ausführlicher in 2 bis 4 beschrieben werden wird und welche die Funktion des Transportierens der Scheiben 24 von der Spülkammer 34 zu der Reaktionskammer 22 und umgekehrt besitzt.
  • Betrachtet man 2 bis 4, ist zu sehen, dass eine Greif- und Transporteinrichtung 60 bei der vorliegenden Erfindung aus Artikulationsmitteln (Gelenkmitteln) 62, die mit dem gelenkigen Arm 58 verbunden sind, einem röhrenförmigen Arm 64, der mit einem Verbindungsstück 66 zur Verbindung mit einem flexiblen Rohr 68 (das in 1 sichtbar ist) ausgestattet ist, welches wiederum mit einer pneumatischen Maschine (nicht gezeigt) als Vakuumquelle verbunden ist, besteht. Der röhrenförmige Arm 64 ist an seinem von den Artikulationsmitteln 62 entfernt gelegenen Ende mit einem Greifwerkzeug oder einer "Hand" 70 verbunden, welche die Funktion des Haltens einer Scheibe 24 während deren Transport von der Scheibenlagerscheibe 54, welche in der Spülkammer 34 vorhanden ist, zu dem scheibenförmigen Aufnehmer 26 und umgekehrt von dem Aufnehmer zu der Lagerscheibe 24 besitzt.
  • Die Hand 70 ist durch zwei Bauteile 72 und 74 in der Form eines kreisförmigen, miteinander verbundenen Randes gebildet. Das obere Bauteil 72 ist mit einem Endstück 76 zum Befestigen einer Klemme 78, welche mit dem röhrenförmigen Arm 64 verbunden ist und zusammen mit dem Endstück 80 des unteren Bauteils 74 eine mit dem röhrenförmigen Arm 64 in Verbindung stehende Leitung 82 bildet, ausgestattet. Das untere Bauteil 74 ist mit einem kreisförmigen Hohlraum 84, der mit der Leitung 82 in Verbindung steht, und mit kleinen Löchern 86a-m welche durch seine zu der Scheibe 24 gerichtete Seite verlaufen, ausgestattet. Darüber hinaus tritt das untere Bauteil 74 nur mit einem begrenzten Randabschnitt 25 der Scheibe 24 in Kontakt, welcher dazu vorgesehen ist, keine nützliche Funktion für die an der Scheibe auszuführende Verarbeitung zu besitzen.
  • Betrachtet werden nun 5 bis 8, welche das Verfahren zeigen, mit welchem die Greifeinrichtung 60 eine Halbleiterscheibe 24 innerhalb eines vertieften Sitzes 28 der Aufnehmerscheibe 26 ablegt. Wie in 2 und 3 ausführlich gezeigt worden ist, ist die Greifeinrichtung 60 durch eine Hand 70 gebildet, die mittels des röhrenförmigen Arms 64 und des Verbindungsstücks 66 mit einem flexiblen Rohr 68 verbunden ist, welches bei Betätigung mit einer Vakuumquelle in Verbindung steht.
  • Wie in 5 gezeigt tritt die Greifeinrichtung 60 in die Reaktionskammer 72 ein, transportiert eine an der Hand 70 anhaftende Halbleiterscheibe 24, wobei die Anhaftung der Scheibe an der Hand 70 durch das Vakuum (symbolisch durch eine Pfeil 90 gezeigt) sichergestellt wird, welches auf das Verbindungsstück 66 aufgebracht wird. Das Eintreten in die Reaktionskammer 22 ist symbolisch durch einen ersten dicken Pfeil 92 gezeigt, der eine Vorwärtsbewegung des gelenkigen Arms 58 angibt. Dann beginnt die Greifeinrichtung 60, die sich nach unten zu dem scheibenförmigen Aufnehmer 26 zu bewegen, wie symbolisch durch einen zweiten dicken Pfeil 94 gezeigt, der eine Bewegung des gelenkigen Arms 58 nach unten angibt.
  • Solange der gelenkige Arm 58 derart angehoben ist, dass die durch die Hand 70 gehaltene Scheibe 24 nicht den vertieften Sitz 28 an dem scheibenförmigen Aufnehmer 26 berührt, veranlasst das Gewicht der Hand 70 und der Scheibe 24 die Artikulationsmittel 62, auf einem Kissen 96 zu ruhen, die an dem Ende des Arms 58 vorhanden sind, wobei sie um einen Schwenkstift 98 rotieren und bis zu einem Punkt hinunterschreiten, der durch eine Einstellschraube 100 zugelassen ist. Wenn sich der Arm 58 nach unten zu dem Punkt bewegt, wo das Ende der Scheibe 24 den vertieften Sitz 28, der zur Innenseite des Aufnehmers 26 gelegen ist, wie in 6 zu sehen ist bewegt, stoppt die Bewegung der Hand 70 nach unten, und die Greifeinrichtung 60 wird zunehmend parallel zu dem Aufnehmer 26 mit dem Beginn des Anhebens der Schraube 100 ausgerichtet, bis, wie in 7 zu sehen ist, die Scheibe 24 vollständig auf dem vertieften Sitz 28 ruht. An diesem Punkt wird das Vakuum, das durch den Pfeil 90 dargestellt ist, beseitigt, was die Scheibe 24 veranlasst, von der Hand 70 gelöst zu werden. Gleichzeitig ermöglichen, bevor die Scheibe 24 von der Hand gelöst worden ist, zwei Hülsen 63 und 65, die aus einem Antireibungsmaterial wie einem Keramikmaterial oder Teflon ® hergestellt sind, eine Rotation des röhrenförmigen Arms in der Richtung eines kreisförmigen Pfeils 67, der in 3 angegeben ist, um ein vollständiges Nivellieren der Scheibe 24 innerhalb des vertieften Sitzes 28 zu ermöglichen, wodurch die Greif- und Transporteinrichtung 60 mit dem Selbstnivelliermerkmal ausgestattet wird, welches ein bevorzugtes Merkmal dieser Erfindung bildet. Sobald die Scheibe 24 vollständig durch und eben innerhalb des vertieften Sitzes 28 gelagert ist und die Abwesenheit des Vakuums 90 die Trennung der Hand 70 von der Scheibe veranlasst hat, führt eine weitere Bewegung des gelenkigen Arms 58 nach unten zu einem Anheben der Hand 70 von der inneren Seite der Scheibe 24, wie in 8 zu sehen ist.
  • Betrachtet man nun 9 bis 12, ist zu sehen, wie die Hand 70 von der Scheibe 24 gelöst werden kann, was diese vollständig innerhalb des vertieften Sitzes 28 des Aufnehmers 26 freigibt. In der Tat ist in 9 zu sehen, dass während die Abwesenheit des Vakuums 90 die Hand 70 im wesentlichen von der Scheibe 24 gelöst hält, der Arm 58 beginnt, sich nach oben in der Richtung des dicken Pfeils 104 zu bewegen, bis die Hand 70 vollständig auf der Scheibe 24 ruht, wie in 10 zu sehen ist, während sie von der Scheibe aufgrund der Abwesenheit des auf die Hand 90 aufgebrachten Vakuums gelöst bleibt. Ein anschließendes Anheben des gelenkigen Arms 58 in der Richtung des Pfeils 104 veranlasst ein Anheben der Hand 70 auf der anderen Seite, wie in 11 zu sehen ist. Schließlich löst ein weiteres Anheben des Arms 58 vollständig die Hand 70 von der Scheibe 24 und hebt diese an, und eine Rückzugbewegung des Arms 58 in der Richtung des Pfeils 106 bringt die Greif- und Transporteinrichtung 60 nach außerhalb der Reaktionskammer 22 (siehe 1).
  • 13 bis 16 zeigen den Vorgang, mit welchem die Greifeinrichtung 60 eine Positionierung einer Hand 70 auf einer in einem vertieften Sitz des Aufnehmers 26 sitzenden Scheibe 24 veranlasst, und 17 bis 20 zeigen ein Anheben und Entnehmen der Scheibe 24 von dem vertieften Sitz 28 des Aufnehmers 26.
  • Unter besonderer Bezugnahme auf 13 bis 16 ist zu sehen, dass der gelenkige Arm 58, wobei die Vorwärtsbewegung durch den Pfeil 92 angegeben ist, die Hand 70 in Ausrichtung zu der Scheibe 24 bringt, während der mit der durch den Pfeil 94 angegebenen Absenkbewegung die Hand veranlasst, sich zu der Scheibe zu bewegen. Wie in 14 zu sehen ist, bringt ein weiteres Absenken des Arms 58 die Hand 70 in Kontakt zuerst mit der inneren Seite der Scheibe 24 und schließlich, wie in 15 zu sehen ist, in Kontakt mit der gesamten Scheibe 24. Die Hülsen 63 und 65 ermöglichen durch das Zulassen einer Rotation um die Achse des röhrenförmigen Arms 64 ebenso eine vollständige Selbstausrichtung der Hand 70 der Scheibe 24. Der Arm 58 kann sich etwas weiter nach unten bewegen, wie in 16 gezeigt, wodurch eine bestimmtes Lösen der Hand 70 von der inneren Seite der Scheibe 24 verursacht wird, jedoch ist dies ohne Bedeutung.
  • Unter Bezugnahme auf 17 ist zu sehen, wie der gelenkige Arm 58 beginnt, sich wieder nach oben zu bewegen, wie durch den Pfeil 104 gezeigt, während ein durch den Pfeil 90 angegebenes Vakuum auf den röhrenförmigen Arm 64 aufgebracht wird, was zuerst die äußere Seite der Scheibe 24 veranlasst, an der Hand 70 anzuhaften. Dann verursacht, wie in 18 angegeben, ein weiteres Anheben des gelenkigen Arms 58 die Hand 70, vollständig an der Scheibe 24 anzuhaften, während das Vakuum 90 die Scheibe 24 an der Hand 70 anbringt. Ein anschließendes weiteres Anheben des Arms 58 veranlasst eine Rotation der Artikulationsmittel 62 um ihren Schwenkstift 98, bis die Schraube 100 auf dem Kissen 96 ruht, was die andere Seite der Scheibe 24 veranlasst, von dem vertieften Sitz 28 des Aufnehmers 26 gelöst zu werden, wie in 19 zu sehen ist, wodurch jegliche kraftbetätigte Trennung der Scheibe 24 von dem vertieften Sitz 28 vermieden wird, wie sie auftreten könnte, falls versucht würde, die Scheibe 24 zu lösen, während sie parallel zu dem vertieften Sitz 28 gehalten wird. Dies vermeidet eine mögliche Beschädigung der Scheibe 24 infolge übermäßiger, durch die Hand 70 aufgebrachter Kräfte und mögliche Vibrationen des röhrenförmigen Arms 64 infolge eines plötzlichen Lösen der Scheibe 24 von dem vertieften Sitz 28. Schließlich, wie in 20 zu sehen ist, löst ein endgültiges Anheben des Arms 58 in der Richtung des Pfeils 104 vollständig die Scheibe 24 von dem vertieften Sitz 28, während eine Rückzugbewegung des Arms in der Richtung des Pfeils 106 die Hand 70 zusammen mit der Scheibe 24 nach außerhalb der Reaktionskammer 22 bringt (siehe 1).
  • Es ist zu beachten, dass um übermäßige Verformungen zu vermeiden, welche aus eine Verwindung der Scheiben 24 und/oder versetzten kleinen Fehlern in der Ausrichtung entstehen, wenn die Scheiben durch die Hand 70 transportiert werden, Mittel vorgesehen sind, um das durch die Hand 70 aufgebrachte Vakuum einzustellen. Insbesondere musste das Vakuum auf einem Maximalwert gehalten werden, während die Hand 70 beginnt, mit den Scheiben 24 in Eingriff zu gelangen, wird jedoch dann auf einen voreingestellten Wert eingestellt, wenn der Eingriff der Hand 70 mit den Scheiben 24 abgeschlossen worden ist.
  • Die obige Beschreibung veranschaulicht am Beispiel einer Ausführungsform der Erfindung, dass in keiner Weise als begrenzend für die Erfindung zu betrachten ist, deren Schutzbereich nur die beigefügten Ansprüche definiert ist.

Claims (14)

  1. Vorrichtung zum Handhaben von Scheiben aus Halbleitermaterialien, umfassend: – eine Reaktionskammer (22), – einen inneren Roboter (30) zum Handhaben der Scheiben (24) aus Halbleitermaterialien, – eine Spülkammer (34) zum Passieren der Scheiben (24) durch eine Reinigungsatmosphäre, – eine Speicherzone (36), die Kassetten (38, 40) zum Aufnehmen der Scheiben (24) aus Halbleitermaterialien in einer gestapelten Anordnung enthält, – einen äußeren Roboter (32) zum Übertragen der Scheiben (24) von dem Speicher (36) zu der Spülkammer (34), – wobei der innere Roboter (30) eine abgedichtete Kammer (56) aufweist, die einen gelenkigen Arm (58) aufnimmt, der an einem Ende eine Greifeinrichtung (60) besitzt, wobei die Greifeinrichtung (60) des inneren Roboters (30) zumindest einen Arm (64) aufweist, der in die Reaktionskammer (22) eingefügt werden kann und in einem Greifwerkzeug oder einer Hand (70) zum Beseitigen einer Scheibe (24) aus Halbleitermaterial von der Spülkammer (34) und zum Transportieren derselben nach dem Passieren durch die abgedichtete Kammer (56), um in einem vertieften Sitz (28) eines scheibenförmigen Aufnehmers (26) der Reaktionskammer (22) abgelegt zu werden, und umgekehrt von dem vertieften Sitz (28) zu der Spülkammer (34), endet, wobei die Hand (70) dazu ausgelegt ist, die Scheibe (24) an ihrer obersten Oberfläche entlang einer Umfangszone oder einem abgeschrägten Rand (25) zu berühren, und die Hand ist dazu ausgelegt, die Scheibe (24) mittels einer Vakuumwirkung zu greifen, und wobei die Greifeinrichtung (60) eine Einrichtung zum schwenkbaren Anbringen derselben an dem gelenkigen Arm (58) auf solche Weise aufweist, dass wenn die Scheibe in dem vertieften Sitz (28) abgelegt wird, die Scheibe gegenüber der Ebene des vertieften Sitzes (28) derart geneigt ist, um den vertieften Sitz (28) anfänglich nur mit dem von dem Arm (64) der Greifeinrichtung (60) entferntest gelegenen Scheibenrand zu berühren, bevor sie dann um den Rand, welcher den Sitz berührt, bevor sie vollständig in Kontakt mit diesem gelangt, schwenkt und umgekehrt, wenn eine Scheibe (24) von der Reaktionskammer (22) zu der Spülkammer (34) bewegt wird, wird die durch die Vakuumwirkung der Hand gegriffene Scheibe von dem vertieften Sitz (28) gelöst, indem zuerst die dem Arm (64) der Greifeinrichtung (60) naheste Seite der Scheibe angehoben wird, und dann die Scheibe vollständig gelöst wird, und die Scheibe weiter angehoben wird, während sie gegenüber der Ebene des vertieften Sitzes geneigt gehalten wird.
  2. Vorrichtung zum Handhaben von Scheiben nach Anspruch 1, dadurch gekennzeichnet, dass der Arm (64) rohrförmig und hohl ist, auf einer Seite mittels eines flexiblen Rohrs (68) mit einer Vakuumquelle verbunden ist, und auf der anderen Seite mit einem kreisförmigen Sitz (84) verbunden ist, der innerhalb der Hand (70) gebildet ist, um in der Lage zu sein, ein Vakuum zwischen einer unteren Seite der Hand (70) und einer Scheibe (24), wenn sie unterhalb der Hand (70) vorhanden ist, aufzubringen.
  3. Vorrichtung zum Handhaben von Scheiben nach Anspruch 2, dadurch gekennzeichnet, dass Gelenkmittel (62) zwischen dem hohlen, rohrförmigen Arm (64) und dem gelenkigen Arm (58) des inneren Roboters (30) angeordnet sind, wobei die Mittel es dem hohlen, rohrförmigen Arm (64) ermöglichen, derart angehoben und abgesenkt zu werden, um die Hand (70) oberhalb und unterhalb einer durch den gelenkigen Arm (58) definierten Ebene zu bringen.
  4. Vorrichtung zum Handhaben von Scheiben nach Anspruch 3, dadurch gekennzeichnet, dass die Gelenkmittel (62) auch eine Rotation des hohlen, rohrförmigen Arms (64) um seine Längsachse ermöglichen.
  5. Vorrichtung zum Handhaben von Substraten nach Anspruch 4, dadurch gekennzeichnet, dass die Gelenkmittel (62) ein Traglager (96) aufweisen, das an dem gelenkigen Arm (58) befestigt ist und einen Drehstift (98) trägt, um welchen die Gelenkmittel (62) rotieren, und eine Einstellschraube (100) zum Festsetzen der erlaubten Höhen zum Anheben und Absenken der Hand (70) in Bezug auf die Ebene des gelenkigen Arms (58) aufweisen, so dass die Hand in der radialen Richtung auf dem scheibenförmigen Aufnehmer (26) nur mit dem vorderen Teil, nur mit dem hinteren Teil oder genau zu dem Aufnehmer (26) ausgerichtet ruhen kann.
  6. Vorrichtung zum Handhaben von Substraten nach Anspruch 5, dadurch gekennzeichnet, dass die Gelenkmittel (62) ebenso um den hohlen, rohrförmigen Arm (64) an die Reibungshülsen (63, 65) zum Ermöglichen einer Ausrichtung der Hand (70) in einer Richtung senkrecht zu dem Radius des scheibenförmigen Aufnehmers (26) aufweisen.
  7. Vorrichtung zum Handhaben von Substraten nach Anspruch 6, dadurch gekennzeichnet, dass die Hand (70) in der Form einer Scheibe mit einem Durchmesser ist, der größer ist als der Durchmesser der zu handhabenden Scheiben (24) ist, und einen Bodenteil (74) besitzt, welcher der Scheibe (24) zugewandt ist, wenn sie durch diesen gehalten ist, und mit einer Vertiefung ausgestattet ist, die dazu ausgelegt ist, nur einen äußeren Umfangsrand (25) der Scheibe (24) zu betätigen.
  8. Vorrichtung zum Handhaben von Substraten nach Anspruch 7, dadurch gekennzeichnet, dass die Vertiefung mit einer Mehrzahl von Umfangslöchern (86) ausgestattet ist, die mit einer Kammer (84) innerhalb der Hand (70) verbunden sind, die wiederum mit dem hohlen rohrförmigen Arm (64) derart verbunden ist, um ein Vakuum zwischen der Scheibe (24) und der Hand (70) aufzubringen.
  9. Vorrichtung zum Handhaben von Substraten nach Anspruch 8, dadurch gekennzeichnet, dass die Umfangslöcher (86) hauptsächlich dort konzentriert sind, wo die größten Vakuumverluste erwartet werden.
  10. Vorrichtung zum Handhaben von Substraten nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass ein Laser-Telemeter vorgesehen ist, um einen Abstand zwischen einem Laserstrahler und dem scheibenförmigen Aufnehmer (26) der betreffenden Reaktionskammer (22) zu messen, der ein zu dem Abstand proportionales Analogsignal erzeugt, wobei der Telemeter Defekte in der Niveauanordnung des Aufnehmers (26) sowie Defekte in der Parallelpositionierung des Aufnehmers (26) in Bezug auf die Reaktionskammer (22) erfasst.
  11. Vorrichtung zum Handhaben von Substraten nach Anspruch 10, dadurch gekennzeichnet, dass in dem äußeren Rand des Aufnehmers (26) eine Kerbe gebildet ist, die als Winkelbezugspunkt verwendet werden kann, der durch den Laser-Telemeter erfasst werden kann.
  12. Verfahren zum Platzieren einer Scheibe (24) aus Halbleitermaterial in einem vertieften Sitz (28) eines scheibenförmigen Aufnehmers (26) in einer Vorrichtung nach einem der vorhergehenden Ansprüche, bei welchem eine Scheibe (24), die veranlasst wird, an der Hand (70) mittels eines Vakuums anzuhaften, in die Reaktionskammer durch die Hand in der angehobenen Position gehalten eintritt, nach oberhalb eines der vertieften Sitze (28) des scheibenförmigen Aufnehmers (26) transportiert wird, die Hand derart abgesenkt wird, um die Scheibe in dem vertieften Sitz (28) zu platzieren, die Scheibe derart nach vorne geneigt verbleibt, um den vertieften Sitz (28) anfänglich nur mit dem von dem Arm (64) der Greifeinrichtung (60) entferntest gelegenen Scheibenrand zu berühren, wenn dann die Hand weiter abgesenkt wird, die Scheibe den vertieften Sitz mit ihrer gesamten Oberfläche berührt, und dann nach Beseitigung des Vakuums, welches die Scheibe (24) an der Hand (70) angebracht hält, die Hand weiter abgesenkt wird, wodurch die Hand (70) von der Scheibe (24) gelöst wird, und dann die Hand (70) erneut angehoben wird, um vollständig von der Scheibe (24) gelöst zu werden, und schließlich zurückgezogen wird, wodurch sie die Reaktionskammer (22) verlässt.
  13. Verfahren zum Beseitigen einer Scheibe (24) aus einem vertieften Sitz (28) eines scheibenförmigen Aufnehmers (26) in eine Vorrichtung nach einem der Ansprüche 1 bis 11, bei welchem die Hand (70) in die Reaktionskammer (22) in einer angehobenen Position eintritt, nach oberhalb einer in einem der vertieften Sitze (28) des scheibenförmigen Aufnehmers (26) aufgenommenen Scheibe (24) transportiert wird, abgesenkt wird, bis sie die Scheibe (24) berührt, und zwar zuerst an dem von dem Arm (64) der Greifeinrichtung (60) entferntest gelegenen Rand und dann über den gesamten Umfang der Scheibe (24), und dann, nach dem Berühren der Scheibe über den gesamten Umfang, ein Vakuum derart aufbringt, um die Scheibe (24) zu veranlassen, mit ihrem Rand (25) an der Hand (70) anzuhaften, und dann beginnt, sich wieder nach oben zu bewegen, die Scheibe (24) von dem vertieften Sitz (28) löst, und zwar zuerst an dem am nahesten zu dem Arm (64) der Greifeinrichtung (60) gelegenen Rand und dann über die gesamte Oberfläche der Scheibe (24), und nachdem schließlich die Scheibe (24) vollständig von dem vertieften Sitz (28) des Aufnehmers (26) angehoben worden ist, diese nach außerhalb der Reaktionskammer (22) transportiert.
  14. Verfahren nach Anspruch 12 und 13, dadurch gekennzeichnet, dass um übermäßige Verformungen der Scheiben (24) infolge einer Verwindung zu vermeiden, ein Vakuum auf die Hand (70) aufgebracht wird, wobei das Vakuum zu Beginn der Betätigung der Scheiben (24) durch die Hand (70), wenn die Scheiben (24) nicht vollständig in Kontakt (70) sind, bei einem Maximum ist, jedoch anschließend Mittel zum Einstellen des Vakuums das Vakuum auf ein Minimalwert vermindern, der zum Aufrechterhalten einer Haftung zwischen den Scheiben (24) und der Hand (70) ausreichend ist, ohne eine wesentliche Verformung der Scheiben (24) zu verursachen.
DE69924040T 1999-02-12 1999-12-03 Vorrichtung und verfahren zum handhaben von substraten mittels eines selbstgleichsetzungs-vakuumsystems in epitaxie-induktionreaktoren Active DE69924040T8 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
ITMI990281 1999-02-12
IT1999MI000281A IT1308606B1 (it) 1999-02-12 1999-02-12 Dispositivo per maneggiare substrati mediante un istema autolivellante a depressione in reattori epistassiali ad induzione con suscettore
PCT/EP1999/009638 WO2000048234A1 (en) 1999-02-12 1999-12-03 Device and method for handling substrates by means of a self-levelling vacuum system in epitaxial induction reactors

Publications (3)

Publication Number Publication Date
DE69924040D1 DE69924040D1 (de) 2005-04-07
DE69924040T2 true DE69924040T2 (de) 2006-02-09
DE69924040T8 DE69924040T8 (de) 2006-04-27

Family

ID=11381870

Family Applications (1)

Application Number Title Priority Date Filing Date
DE69924040T Active DE69924040T8 (de) 1999-02-12 1999-12-03 Vorrichtung und verfahren zum handhaben von substraten mittels eines selbstgleichsetzungs-vakuumsystems in epitaxie-induktionreaktoren

Country Status (10)

Country Link
US (1) US6648974B1 (de)
EP (1) EP1224691B1 (de)
JP (1) JP2002536201A (de)
KR (1) KR100623170B1 (de)
CN (1) CN1155053C (de)
AT (1) ATE290253T1 (de)
DE (1) DE69924040T8 (de)
HK (1) HK1042165A1 (de)
IT (1) IT1308606B1 (de)
WO (1) WO2000048234A1 (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE202009002523U1 (de) 2009-02-24 2010-07-15 Kuka Systems Gmbh Handhabungseinrichtung

Families Citing this family (372)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
ITMI20012014A1 (it) 2001-09-27 2003-03-27 Lpe Spa Utensile per maneggiare fette e stazione per crescita epitassiale
KR100487427B1 (ko) * 2001-11-06 2005-05-03 엘지.필립스 엘시디 주식회사 액정표시장치 제조용 스퍼터
KR100441875B1 (ko) * 2003-06-02 2004-07-27 주성엔지니어링(주) 분리형 이송 챔버
WO2006126218A1 (en) * 2005-05-26 2006-11-30 Lpe S.P.A. Vacuum system for wafer handling
JP2007221031A (ja) * 2006-02-20 2007-08-30 Lintec Corp 搬送装置及び搬送方法
JP5317956B2 (ja) * 2006-04-07 2013-10-16 アプライド マテリアルズ インコーポレイテッド エピタキシャル膜を形成する方法、及び、エピタキシャル膜の形成に使用するためのクラスターツール
US20080003092A1 (en) * 2006-06-30 2008-01-03 Petar Baclija Rotary union connection
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
DE102007041332A1 (de) 2007-08-31 2009-03-05 Siemens Ag Transferchuck zur Übertragung, insbesondere von Wafern
US10041169B2 (en) * 2008-05-27 2018-08-07 Picosun Oy System and method for loading a substrate holder carrying a batch of vertically placed substrates into an atomic layer deposition reactor
US8282334B2 (en) * 2008-08-01 2012-10-09 Picosun Oy Atomic layer deposition apparatus and loading methods
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
CN102212877B (zh) * 2010-07-09 2012-08-22 江苏中晟半导体设备有限公司 具有多个外延反应腔的mocvd系统及其操作方法
CN102330147B (zh) * 2010-07-14 2015-11-25 郭志凯 一种硅片生产外延设备及其系统
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US9117856B2 (en) * 2011-07-06 2015-08-25 Tel Nexx, Inc. Substrate loader and unloader having an air bearing support
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
KR101271246B1 (ko) * 2011-08-02 2013-06-07 주식회사 유진테크 에피택셜 공정을 위한 반도체 제조설비
CN103917466B (zh) * 2011-09-14 2019-01-04 布鲁克斯自动化公司 装载工位
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) * 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
CN104425331B (zh) * 2013-09-09 2017-09-29 北京北方微电子基地设备工艺研究中心有限责任公司 转盘定位装置、装载传输系统及等离子体加工设备
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
CN106471614B (zh) * 2014-07-03 2020-08-25 Lpe公司 用于操纵衬底的工具、操纵方法及外延反应器
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR101666786B1 (ko) * 2014-11-21 2016-10-17 (주) 씨앤아이테크놀로지 반도체 패키지의 전자파 차폐막 형성을 위한 점착패드 제조장치 및 이를 이용한 점착패드 제조방법
CN105632972B (zh) * 2014-12-01 2019-02-19 北京北方华创微电子装备有限公司 反应腔室
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
CN105619406B (zh) * 2015-12-31 2017-10-17 北京七星华创电子股份有限公司 多指机械手片叉的校准方法
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210084058A (ko) * 2019-12-27 2021-07-07 삼성전자주식회사 기판 이송 장치 및 이를 이용한 기판 이송 시스템
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1068514A (en) * 1963-05-03 1967-05-10 Headley Townsend Backhouse Improvements in or relating to suction grippers
US4129328A (en) * 1977-06-20 1978-12-12 Littell Edmund R Plate handling apparatus with load deflection compensation
US4433951A (en) * 1981-02-13 1984-02-28 Lam Research Corporation Modular loadlock
US4588343A (en) * 1984-05-18 1986-05-13 Varian Associates, Inc. Workpiece lifting and holding apparatus
US4915564A (en) * 1986-04-04 1990-04-10 Materials Research Corporation Method and apparatus for handling and processing wafer-like materials
JPS6365640A (ja) * 1986-09-05 1988-03-24 Kokusai Electric Co Ltd ウエハの着脱方法及び装置
JPS6387831U (de) * 1986-11-26 1988-06-08
US4828224A (en) * 1987-10-15 1989-05-09 Epsilon Technology, Inc. Chemical vapor deposition system
US5843233A (en) * 1990-07-16 1998-12-01 Novellus Systems, Inc. Exclusion guard and gas-based substrate protection for chemical vapor deposition apparatus
US5172922A (en) * 1991-04-25 1992-12-22 Digital Equipment Corporation Self aligning vacuum nozzle
US5766360A (en) * 1992-03-27 1998-06-16 Kabushiki Kaisha Toshiba Substrate processing apparatus and substrate processing method
US5626675A (en) * 1993-11-18 1997-05-06 Tokyo Electron Limited Resist processing apparatus, substrate processing apparatus and method of transferring a processed article
KR100310249B1 (ko) * 1995-08-05 2001-12-17 엔도 마코토 기판처리장치
US5915915A (en) * 1996-03-07 1999-06-29 Komag, Incorporated End effector and method for loading and unloading disks at a processing station
JP2772283B2 (ja) * 1996-07-16 1998-07-02 山形日本電気株式会社 真空吸着ピンセットおよびその吸着方法
US5961169A (en) * 1998-07-27 1999-10-05 Strasbaugh Apparatus for sensing the presence of a wafer
US6517130B1 (en) * 2000-03-14 2003-02-11 Applied Materials, Inc. Self positioning vacuum chuck

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE202009002523U1 (de) 2009-02-24 2010-07-15 Kuka Systems Gmbh Handhabungseinrichtung

Also Published As

Publication number Publication date
EP1224691B1 (de) 2005-03-02
KR100623170B1 (ko) 2006-09-18
CN1334959A (zh) 2002-02-06
DE69924040D1 (de) 2005-04-07
IT1308606B1 (it) 2002-01-08
EP1224691A1 (de) 2002-07-24
ITMI990281A1 (it) 2000-08-12
WO2000048234A1 (en) 2000-08-17
DE69924040T8 (de) 2006-04-27
US6648974B1 (en) 2003-11-18
CN1155053C (zh) 2004-06-23
ATE290253T1 (de) 2005-03-15
KR20010110435A (ko) 2001-12-13
JP2002536201A (ja) 2002-10-29
HK1042165A1 (zh) 2002-08-02

Similar Documents

Publication Publication Date Title
DE69924040T2 (de) Vorrichtung und verfahren zum handhaben von substraten mittels eines selbstgleichsetzungs-vakuumsystems in epitaxie-induktionreaktoren
DE3650057T2 (de) System für Vakuumbehandlung.
DE69219771T2 (de) Modul fuer behandlung von halbleiterscheiben
DE69830905T2 (de) Vorrichtung zur behandlung von einzelnen halbleiterscheiben mit mehreren schleusenkammern und verfahren zum beladen und entladen
DE69402918T2 (de) Substratfangvorrichtung und Keramikblatt für Halbleiterbearbeitungseinrichtung
US5324155A (en) Wafer handling system with bernoulli pick-up
EP0343530B1 (de) Vakuumanlage
DE60214763T2 (de) Waferhandhabungsvorrichtung und verfahren dafür
US6540468B1 (en) Device and method for handling individual wafers
DE69133567T2 (de) Vakuumbehandlungsvorrichtung und Arbeitsverfahren dafür
US7927062B2 (en) Methods and apparatus for transferring substrates during electronic device manufacturing
DE102007041033A1 (de) Substratverarbeitende Vorrichtung mit einer Puffermechanik und einer Substrattransfervorrichtung
US20070289383A1 (en) Apparatus and method for receiving and transferring glass substrate plates
DE4230808A1 (de) System zur handhabung und verarbeitung eines substrats
DE69012647T2 (de) Halbleiterbehandlungsvorrichtung und Verfahren.
DE3788973T2 (de) Verfahren und Vorrichtung zur Handhabung und Behandlung von scheibenartigen Materialien.
DE69938120T2 (de) Mechanismus und Verfahren zum Halten eines mit einer Schicht zu bedeckenden Substrates
EP1084509A1 (de) Mehrfach-greiforgan für halbleiterwaferbehandlung
JPH03505946A (ja) ベルヌーイのピックアップを備えるウエーハ取り扱いシステム
JPH11513006A (ja) 基板キャリアおよびロードロック用ドア駆動装置
DE112020001947T5 (de) Dampfabscheidungsverfahren und dampfabscheidungsvorrichtung
DE3750734T2 (de) Verfahren und Vorrichtung zur Handhabung und Behandlung von scheibenartigen Materialien.
DE112019006554T5 (de) Gasphasenabscheidungsvorrichtung und träger zur verwendung in derselben
DE112019006420T5 (de) Gasphasenabscheidungsvorrichtung
DE3639991A1 (de) Verfahren und vorrichtung zum automatischen be- und entladen von halbleiterscheiben auf einem werkstueckhalter

Legal Events

Date Code Title Description
8364 No opposition during term of opposition