ITMI990281A1 - Dispositivo per maneggiare substrati mediante un istema autolivellante a depressione in reattori epistassiali ad induzione con suscettore di - Google Patents
Dispositivo per maneggiare substrati mediante un istema autolivellante a depressione in reattori epistassiali ad induzione con suscettore diInfo
- Publication number
- ITMI990281A1 ITMI990281A1 IT1999MI000281A ITMI990281A ITMI990281A1 IT MI990281 A1 ITMI990281 A1 IT MI990281A1 IT 1999MI000281 A IT1999MI000281 A IT 1999MI000281A IT MI990281 A ITMI990281 A IT MI990281A IT MI990281 A1 ITMI990281 A1 IT MI990281A1
- Authority
- IT
- Italy
- Prior art keywords
- hand
- slice
- susceptor
- wafer
- reaction chamber
- Prior art date
Links
- 230000006698 induction Effects 0.000 title description 6
- 238000006243 chemical reaction Methods 0.000 claims abstract description 50
- 239000000758 substrate Substances 0.000 claims abstract description 35
- 238000010926 purge Methods 0.000 claims abstract description 33
- 239000004065 semiconductor Substances 0.000 claims abstract description 25
- 238000003860 storage Methods 0.000 claims abstract description 6
- 235000012431 wafers Nutrition 0.000 claims description 88
- 239000000463 material Substances 0.000 claims description 19
- 238000000034 method Methods 0.000 claims description 14
- 230000002093 peripheral effect Effects 0.000 claims description 10
- 230000007547 defect Effects 0.000 claims description 8
- 230000000694 effects Effects 0.000 claims description 8
- 230000001464 adherent effect Effects 0.000 claims description 4
- 230000000740 bleeding effect Effects 0.000 claims description 3
- 238000004140 cleaning Methods 0.000 claims description 2
- 238000004891 communication Methods 0.000 claims description 2
- 230000001105 regulatory effect Effects 0.000 claims description 2
- 238000005229 chemical vapour deposition Methods 0.000 abstract description 8
- 239000011261 inert gas Substances 0.000 description 10
- 230000032258 transport Effects 0.000 description 9
- 238000010438 heat treatment Methods 0.000 description 8
- 230000033001 locomotion Effects 0.000 description 7
- 239000007789 gas Substances 0.000 description 6
- 239000001257 hydrogen Substances 0.000 description 6
- 229910052739 hydrogen Inorganic materials 0.000 description 6
- 238000011282 treatment Methods 0.000 description 6
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 5
- 239000010453 quartz Substances 0.000 description 5
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N silicon dioxide Inorganic materials O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 5
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 4
- 238000001816 cooling Methods 0.000 description 4
- 238000000151 deposition Methods 0.000 description 4
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 3
- 230000006378 damage Effects 0.000 description 3
- 230000008021 deposition Effects 0.000 description 3
- 239000002245 particle Substances 0.000 description 3
- 229910052710 silicon Inorganic materials 0.000 description 3
- 239000010703 silicon Substances 0.000 description 3
- 238000005406 washing Methods 0.000 description 3
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 2
- 238000011109 contamination Methods 0.000 description 2
- 239000000428 dust Substances 0.000 description 2
- 239000012636 effector Substances 0.000 description 2
- 238000000605 extraction Methods 0.000 description 2
- 229910002804 graphite Inorganic materials 0.000 description 2
- 239000010439 graphite Substances 0.000 description 2
- 238000004519 manufacturing process Methods 0.000 description 2
- 229910052757 nitrogen Inorganic materials 0.000 description 2
- 230000003287 optical effect Effects 0.000 description 2
- 235000012771 pancakes Nutrition 0.000 description 2
- 239000005046 Chlorosilane Substances 0.000 description 1
- 239000004809 Teflon Substances 0.000 description 1
- 229920006362 Teflon® Polymers 0.000 description 1
- 238000004873 anchoring Methods 0.000 description 1
- 239000003831 antifriction material Substances 0.000 description 1
- 230000015572 biosynthetic process Effects 0.000 description 1
- 238000007664 blowing Methods 0.000 description 1
- 239000000919 ceramic Substances 0.000 description 1
- KOPOQZFJUQMUML-UHFFFAOYSA-N chlorosilane Chemical compound Cl[SiH3] KOPOQZFJUQMUML-UHFFFAOYSA-N 0.000 description 1
- 238000010276 construction Methods 0.000 description 1
- 238000012937 correction Methods 0.000 description 1
- 239000013078 crystal Substances 0.000 description 1
- 230000002939 deleterious effect Effects 0.000 description 1
- 238000005530 etching Methods 0.000 description 1
- 210000001061 forehead Anatomy 0.000 description 1
- 150000002431 hydrogen Chemical class 0.000 description 1
- 238000003754 machining Methods 0.000 description 1
- 238000012423 maintenance Methods 0.000 description 1
- 230000003647 oxidation Effects 0.000 description 1
- 238000007254 oxidation reaction Methods 0.000 description 1
- 238000012545 processing Methods 0.000 description 1
- 230000002035 prolonged effect Effects 0.000 description 1
- 238000000197 pyrolysis Methods 0.000 description 1
- 239000007787 solid Substances 0.000 description 1
- 239000000725 suspension Substances 0.000 description 1
- 231100000331 toxic Toxicity 0.000 description 1
- 230000002588 toxic effect Effects 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/677—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
- H01L21/67739—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
- H01L21/67748—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/6838—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping with gripping and holding devices using a vacuum; Bernoulli devices
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10S—TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10S414/00—Material or article handling
- Y10S414/135—Associated with semiconductor wafer handling
- Y10S414/141—Associated with semiconductor wafer handling includes means for gripping wafer
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10S—TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10S438/00—Semiconductor device manufacturing: process
- Y10S438/907—Continuous processing
Landscapes
- Engineering & Computer Science (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Physics & Mathematics (AREA)
- Power Engineering (AREA)
- Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
- Crystals, And After-Treatments Of Crystals (AREA)
- Liquid Deposition Of Substances Of Which Semiconductor Devices Are Composed (AREA)
- Physical Or Chemical Processes And Apparatus (AREA)
- Apparatus Associated With Microorganisms And Enzymes (AREA)
Description
DESCRIZIONE dell’invenzione industriale
La presente invenzione riguarda un dispositivo per il maneggio di substrati, in particolare fette di semiconduttori, in un’apparecchiatura usata per la deposizione da vapori chimici (CVD) di materiale semiconduttore sui medesimi substrati e un metodo per manovrare il medesimo dispositivo. In special modo, riguarda un dispositivo per il maneggio di substrati usati in un reattore epitassiale e, in particolare, riguarda un reattore epitassiale per provvedere deposito da vapori chimici (CVD) di materiali sui medesimi substrati, di preferenza su substrati di silicio impiegati nella fabbricazione di componenti a semiconduttori, come “chip” di circuiti integrati.
Più in particolare, la presente invenzione riguarda un dispositivo a servizio di reattori epitassiali come quelli protetti dal brevetto italiano No.
1.271.233, depositato il 30 Settembre 1994, per “Reattore epitassiale munito di suscettore discoidale piano ed avente flusso di gas parallelo ai substrati” e concesso il 27 Maggio 1997. Con il servizio del presente dispositivo il sopraddetto reattore epitassiale diventa del tipo denominato “da-cassetta-a-cassetta” perché delle cassette contenenti i substrati, non ancora trattati, sono posizionate aU’intemo del reattore e, durante un ciclo di caricamento del prodotto, si utilizza un primo braccio meccanizzato, o robot, non facente parte della presente invenzione, per portare i substrati da una cassetta di deposito ad una camera di spurgo e un secondo braccio meccanizzato, o robot, portante al suo esterno un mezzo di presa e di trasporto, oggetto della presente invenzione, per portare i substrati dalla camera di spurgo al suscettore, mentre durante un ciclo di scaricamento il secondo robot porta i substrati dal suscettore alla camera di spurgo e successivamente il primo robot porta i medesimi substrati, che hanno subito i trattamenti, dalla camera di spurgo ad una delle cassette, il tutto avvenendo senza intervento manuale di un operatore che sovrintende al funzionamento del reattore.
L’invenzione è particolarmente applicabile a sistemi di deposizione CVD a parete fredda, preferibilmente, a reattori in grado di. fornire crescita epitassiale su substrati o fette di silicio, impiegati nella fabbricazione di dispositivi semiconduttori mediante deposizione per pirolisi da vapori di clorosilani.
I più diffusi reattori epitassiali commerciali si dividono in due principali categorie:
a) reattori a fetta singola (monofetta), in grado cioè di trattare una sola fetta alla volta; e
b) reattori di tipo a “batch” (ossia a cariche), in grado di trattare contemporaneamente una pluralità di substrati o fette.
I sistemi di riscaldamento utilizzati per i sopraddetti reattori sono riconducibili a due tipi: il riscaldamento a lampade ed il riscaldamento ad induzione a media o alta frequenza.
I reattori di tipo “batch” più diffusi a livello industriale sono essenzialmente di due tipi : il sistema cosiddetto “barrel", cioè con suscettore prismatico o tronco-piramidale, ed il sistema “pancake” con suscettore discoidale sostanzialmente piano.
Tipicamente, al presente, i reattori di tipo batch sono a caricamento manuale, mentre i reattori monofetta sono a caricamento automatico.
Nei reattori a caricamento automatico il substrato, o fetta, può essere maneggiato in diversi modi che presentano sia vantaggi, sia inconvenienti. La manipolazione dei substrati è particolarmente critica nel campo dei semiconduttori e, in particolare, nei reattori epitassiali dove si incontrano problemi di temperatura e di contaminazione da parte di particelle.
In generale, ogni substrato o fetta presenta un lato inferiore (retro), un Iato superiore (fronte) ed una parete laterale (bordo). Le dimensioni del fronte e del retro sono di norma comprese tra 75 e 300 mm, potendo arrivare anche a 400 mm, mentre le dimensioni del bordo sono minori o vicino a 1 mm. 11 fronte è la parte più importante di una fetta perché su di esso avviene il processo di reazione chimica, cioè il deposito.
Per il sopraddetto motivo, è importante evitare ogni contatto tra fronte e qualsiasi tipo di utensile usato per il maneggio, perché ogni contatto, sia pur minimo, provoca imperfezioni nel reticolo cristallino. Se le imperfezioni si formano in fase di caricamento, sono ingigantite da! successivo processo termico, tuttavia sono da evitarsi anche imperfezioni indotte in fase di scaricamento
In sostanza, si può affermare che non è mai consentito un contatto, anche accidentale, con il fronte della fetta. Invece, entro certi limiti, è ammesso un contatto con il retro e con il bordo della medesima fetta.
Perciò, per muovere una fetta, si può agire dal fronte (tuttavia senza contatto diretto), dal retro o dal bordo.
In pratica, esiste un solo sistema che consente un maneggio dal fronte, senza contatto tra utensile e fetta, ed è quello basato sull’effetto Bemouilli, secondo il quale, realizzando un opportuno utensile di presa (end-efFector) è possibile, soffiando del gas inerte filtrato verso il fronte della fetta, creare un effetto di attrazione della medesima fetta che, in posizione orizzontale, è sufficiente a vincere il peso della fetta, mantenendo la medesima in sospensione.
Tuttavia, si hanno necessariamente dei contatti accidentali tra i bordi delle fette ed alcuni punti fissi dell’utensile di presa perché, in assenza di appoggio e quindi di attrito, è necessario avere dei punti fissi per bloccare la fetta sotto l’utensile di presa, però questo fatto non è particolarmente negativo.
Nella fase di scarico, per sollevare la fetta dalla cava in cui risiede, è necessario vincere, oltre al peso proprio, anche una leggera depressione che si fonila tra la cava e la medesima fetta. Siccome ciò non è possibile mediante il solo effetto Bemouilli, è necessario evitare la formazione dì questa depressione per esempio tramite una rete di minuscoli canali realizzati nella cava sotto la fetta. Questa tecnica è ottima, ma è meglio allatta a reattori riscaldati a lampade, rispetto a reattori riscaldati ad induzione, perché la presenza di canali non conduttori nella massa di grafite dei suscettori nuocerebbe all’unifonnità di riscaldamento delle fette. Inoltre, tale tecnica è di dubbia compatibilità con reattori di tipo batch, perché, se LUI flusso di gas inerte aiuta a mantenere pulito il fronte della fetta in fase di maneggio, è verosimilmente deleterio per le fette adiacenti, specialmente se il maneggio avviene in prossimità del suscettore, perché il flusso di gas tiene in movimento qualsiasi particella di polvere presente.
Un altro sistema consiste nel maneggiare la fetta dal retro, che però ha il problema che il retro della fetta è accessibile quando si trova nella cassetta ma non lo è più quando risiede sul suscettore. Per ovviare a questo inconveniente, si possono ricavare dei fori passanti nel suscettore e sollevare la fetta, quando richiesto, tramite piccoli supporti, passanti attraverso i fori, che possono alzarsi per provvedere al sollevamento ed abbassarsi per consentire l’alloggiamento della fetta nel suscettore. In effetti, nel ciclo di caricamento i supporti sono alzati e le fette sono appoggiate sui medesimi. Successivamente i supporti sono abbassati e le fette sono deposte nelle corrispondenti sedi sul suscettore. Nel ciclo di scarico, i supporti sono alzati e con essi le fette; quindi si introduce una lingua o utensile di presa (end-effector) di opportuno materiale sotto la fetta c questa è rimossa. Se si volesse garantire una maggiore stabilità, è possibile frenare la fetta applicando una leggera depressione tra fetta ed mensile di presa. Tuttavia, anche questa tecnica, pur essendo buona, in pratica è ben applicabile a reattori del tipo riscaldato a lampade, mentre è probabilmente inaccettabile a reattori riscaldati per induzione, perché i fori praticati nella grafite del suscettore renderebbero non uniforme la corrente circolante e quindi il riscaldamento.
Un altro sistema noto è quello che consente di afferrare la fetta sul diametro esterno, o bordo, in due o più punti con sistemi di presa mobili, come pinze meccaniche. Tuttavia, questo sistema non è di facile realizzazione, richiedendo lavorazioni particolari della cava, o delle cave, del suscettore che non sono completamente compatibili con il sistema di riscaldamento ad induzione. Infine, come già detto, non è proponibile alcun contatto diretto sul fronte della fetta.
Esistono comunque, dei sistemi che consentono di afferrare la fetta sul fronte, limitando il contatto ad in una o più aree della sua corona esterna. La fetta è trattenuta da un sistema a depressione mediante una camera ricavata tra fetta e utensile di presa. In questo caso però la forza di sollevamento è limitata alla superficie di contatto con la corona esterna della fetta e un sia pur minimo errore di posizionamento tra fetta ed utensile di presa si traduce rispettivamente in una minore o maggiore superficie di contatto con rischi di mancanza di presa sulla fetta o di un aumento di difetti dovuti al contatto diretto tra utensile e presa. In conclusione, questo sistema non è ottimale a causa della superficie di contatto sempre troppo grande tra utensile e fronte della fetta.
La presente invenzione ha lo scopo di meglio realizzare un dispositivo automatizzabile di rifornimento e prelievo di fette di semiconduttori a e da un reattore epitassiale come quello descritto nel citato brevetto italiano No. 1.271.233 che.protegge un reattore epitassiale munito di suscettore discoidale piano ed avente flusso di gas parallelo ai substrati.
In sintesi, il funzionamento del reattore e dell’associato dispositivo comprende la seguenti fasi:
* posizionamento delle cassette contenenti le fette da accrescere entro il reattore;
* fase di caricamento del prodotto, dove le fette sono trasferite airintemo della camera di reazione, come descritto in maggior dettaglio nel seguito;
* breve fase di spurgo in idrogeno nella camera di reazione;
* fase di riscaldamento per portare il suscettore e le fette all’opportuna temperatura;
* ciclo di processo come richiesto dalla specifica da seguire;
* fase di raffreddamento ad una temperatura compatibile con la fase di scaricamento; e
* scaricamento delle fette accresciute e loro ritorno nelle cassette, come descritto in maggior dettaglio nel seguito.
Le fasi di caricamento e scaricamento avvengono a temperature compatibili con il materiale realizzante l’utensile di presa.
Ogni ciclo di accrescimento può essere seguito da altri cicli di accrescimento oppure da un ciclo, detto di attacco, dove le fette non sono caricate e si eseguono le seguenti operazioni:
* breve fase di spurgo mediante idrogeno della camera di reazione;
* fase di riscaldamento per portare il suscettore all’adatta temperatura di attacco;
* ciclo di attacco come richiesto dalla specifica da eseguire; e
* fase di raffreddamento ad una temperatura compatibile con la fase di caricamento di fette successiva alla fase di attacco.
Il reattore epitassiale oggetto del sopra citato brevetto italiano No.
1.271.233 è di tipo cosiddetto “pancake”, ossia a suscettore discoidale, riscaldato ad induzione per cui nessuno dei sistemi qui sopra illustrati può essere utilizzato efficacemente.
Per rimediare ai sopraddetti inconvenienti, si impiega una soluzione comprendente:
una prima zona del reattore, verso la cosiddetta sala bianca, destinata ad ospitare le cassette contenenti le fette da trattare e quelle già trattate, dove questa parte del reattore può essere in ambiente di aria o, in alternativa, prevedere una camera di spurgo con un gas inerte, almeno alla temperatura ambiente per il silicio della fetta da trattare (un gas inerte, sia pure economico come l’azoto, può essere preferibile all’aria). Nel seguito viene descritto il caso, più semplice, di ambiente di aria, dove non si richiedono né tenute di gas particolarmente efficienti, né prolungati lavaggi con gas inerti. Nel caso di impiego di aria, si aggiunge solamente un cosiddetto filtro assoluto per aria allo scopo di mantenere ambiente di aria il più possibile esente da particelle solide (pulviscolo). Inoltre, la medesima prima zona del reattore è munita di due porte, apribili in qualsiasi momento, per consentire la rimozione delle cassette contenenti le fette, dove l’apertura delle porle non richiede cicli di spurgo o di lavaggio con gas inerti particolarmente lunghi. Comunque, in alternativa, qualora le lavorazioni sulle fette rendessero intollerabili anche i più piccoli difetti dovuti ad ossidazione locale della medesima fetta, è prevista la realizzazione della prima zona del reattore munita di porte a tenuta e di impianto di spurgo, anche mediante pompa pneumatica di estrazione, ed immissione di gas inerte, al fine di minimizzare l’esposizione della fetta all’aria e, di conseguenza, i medesimi difetti. Esiste un primo robot, chiamato robot esterno, che sovrintende al maneggio delle fette, le fette essendo maneggiate sul retro perché le presenti cassette disponibili in commercio sono costruite proprio per consentire questo maneggio. Un utensile di presa del robot esterno, pure disponibile in commercio, trasporta le fette tenendole premute in posizione mediante una modesta depressione disponibile sul robot.
II funzionamento della sopraddetta soluzione è spiegato qui di seguito.
In fase di caricamento, si toglie una fetta dalla corrispondente cassetta e la si posiziona in una stazione di allineamento e centratura che può anche essere costruita entro il medesimo robot esterno. Le cassette, la stazione di allineamento e centratura ed il robot esterno non sono nuovi e non fanno parte della presente invenzione. Qui la fetta è orientata secondo l’angolo voluto e si calcola la posizione del suo centro geometrico per consentire una successiva presa .precisa. Successivamente la fetta è posizionata entro la camera di spurgo sopra un disco di quarzo, fungente da appoggio, sagomato in modo da consentire un maneggio tramite l’utensile di presa commerciale del medesimo robot esterno. Il disco di quarzo ha una massa relativamente grande rispetto alla fetta al fine di meglio dissipare il suo calore durante la fase di scaricamento.
Si chiude la porta di accesso e la camera di spurgo è lavata con un gas inerte, allo scopo di rimuovere completamente ogni traccia di aria, ed eventualmente il lavaggio può essere aiutato da un ciclo di estrazione pneumatica per accelerare la medesima operazione di spurgo. A questo punto si apre la porta dì accesso alla camera dove opera il robot interno, fatto secondo l’invenzione, che funziona sempre in atmosfera di gas inerte e, tranne che durante operazioni di manutenzione, non è mai esposto all’aria.
L’utensile di presa, o mano, del robot interno costituisce parte della presente invenzione, la quale invenzione consente di maneggiare in modo appropriato le fette mediante un contatto molto limitato con il loro bordo. Infatti, ogni fetta ha una parte smussata (edge o bordo) estendentesi per circa 1 mm. La parte dell’utensile di presa a contatto con il bordo della fetta è sagomata in modo tale da limitare la zona di contatto al solo smusso esteso per 1 mm, del bordo ed è di opportuno materiale, come quarzo. Tale parte della fetta non è comunque utile nella costruzione di circuiti integrati e quindi questa scelta non è dannosa per la qualità del prodotto finito. Inoltre, l’utensile di presa, o mano, è collegato al braccio del robot interno mediante un tubo strutturale che ha due funzioni :
- la prima di prolungare il braccio del robot per raggiungere la posizione del suscettore all’interno della camera di reazione;
- la seconda di consentire mediante uno snodo o sfruttando l’elasticità del braccio, un certo autolivellamento tra utensile, o mano, e fetta che è utilizzato nelle operazioni di sollevamento della medesima fetta.
Il sollevamento della fetta è garantito da una certa depressione ottenuta da una macchina pneumatica dedicata. La depressione è trasferita alla fetta attraverso una serie di fori distribuiti lungo la periferia dell’utensile di presa, concentrati in corrispondenza della zona rotonda delle fetta e mancanti in una zona appiattita (fiat) o rientrante a tacca (notch) che serve ad identificazione ed orientamento di ogni fetta, dóve per fette di diametro fino a 150 mm si preferisce la zona appiattita, mentre per fette di diametro superiore si preferisce la zona rientrante a tacca. Siccome ogni irregolarità di forma della fetta provoca una diminuzione locale degli effetti della depressione, si deve compensare, secondo l’invenzione, questa diminuzione con una concentrazione, opportunamente calcolata di fori di aspirazione nell’utensile di presa.
Nella fase di caricamento il suscettore ruota e posiziona, mediante riferimenti di per sé noti, correttamente la cava da caricare Questo posizionamento può essere fatto mediante sistemi ottici di per sé noti. Quindi la fetta è introdotta nella camera di reazione e posizionata sopra un’opportuna cava del suscettore. Il robot interno si abbassa leggermente, porta la fetta a contatto con la cava, e, togliendo la depressione, rilascia la fetta caricata sulla medesima cava. Si ripetono le fasi di caricamento fino a che sono occupate tutte le cave presenti sul suscettore. Si iniziano quindi i trattamenti programmati delle fette.
Tra tutti i sistemi ottici si può impiegare un sistema di telemetro a laser che misura la distanza tra un emettitore laser ed il suscettore sotto esame, producendo un segnale analogico proporzionale alla detta distanza. Per esempio, il telemetro a laser aiuta ad eliminare difetti di parallelismo del suscettore attraverso un algoritmo di scansione e successiva correzione tramite mezzi meccanici di per sé noti (per esempio, viti micrometriche). Questo parallelismo è essenziale per consentire uniformità di depositi epitassiali sulle fette.
Una volta completati i trattamenti programmati delle fette, si deve provvedere a scaricarle dal suscettore. Per fare questo, dopo aver aspettato che il suscettore si sia portato a temperatura adeguata per consentire di estrarre senza danno le fette dalla camera di reazione, si deve ancora impiegare il medesimo robot interno che provvede a togliere ciascuna fetta dalla corrispondente cava del suscettore e a trasportala entro la camera di spurgo dove si posa sul disco di quarzo che con la sua grande massa provvede a raffreddarla. Dopo sufficiente raffreddamento, la fetta viene trasferita dal robot esterno ad una sede di una della cassette poste nella prima zona del reattore.
La presente invenzione che realizza i procedimenti qui sopra esposti consiste di un dispositivo per maneggiare substrati di materiali prodotti in apparecchiature o reattori epitassiali, come fette di materiali semiconduttori, comprendente:
Lina camera di reazione,
un robot interno per maneggiare i substrati o fette di materiali semiconduttori,
una camera stagna che alloggia il robot interno;
una camera di spurgo per passare in atmosfera di pulizia le fette,
una zona di magazzino contenente delle cassette portanti accatastate le fette di materiali semiconduttori,
un robot esterno per far passare le fette dal magazzino al robot interno, caratterizzato dal fatto che il mezzo di presa del robot interno comprende almeno un braccio articolato infilabile nella camera di reazione terminante con un utensile di presa o mano per prendere una fetta di materiale semiconduttore dalla camera di spurgo e trasportarla, dopo aver attraversato la camera stagna, a depositarsi in una cava di un suscettore a disco della camera di reazione, e viceversa, dalla cava alla camera di spurgo, dove la mano tocca la fetta su una zona periferica o bordo smussato, la mano afferra la fetta sfruttando un effetto di depressione e la posa sul suscettore a disco toccando prima la corrispondente cava su un lato e quindi entrando completamente in contatto con la medesima e viceversa, nel trasportare una fetta dalla camera di reazione alla camera di spurgo, afferra la fetta sfruttando un effetto di depressione, la distacca dalla cava del suscettore, sollevandola prima da un lato e quindi distaccandola completamente, e la posa infine su un disco di appoggio contenuto nella camera di spurgo.
In particolare, il braccio è tubolare cavo, comunicante, da una parte, mediante un tubo flessibile con una sorgente di depressione e dall’altra con una cava circolare praticata entro la mano per applicare depressione tra una faccia inferiore della medesima mano ed una fetta presente sotto la mano.
Di preferenza, tra il braccio tubolare cavo ed il braccio articolato del robot interno sono interposti dei mezzi di snodo che consentono al braccio tubolare cavo di poter alzarsi ed abbassarsi per portare la mano al disopra e al disotto di un piano definito dal braccio articolato.
In aggiunta, tra il braccio tubolare cavo ed il braccio articolato del robot interno sono interposti dei mezzi di snodo che consentono inoltre una rotazione del braccio tubolare cavo attorno ad un suo asse longitudinale.
Di preferenza, i mezzi di snodo comprendono un cuscinetto di appoggio, fissato al braccio articolato, portante un perno di rotazione, attorno al quale ruotano i mezzi di snodo, ed una vite di regolazione per fissare il livelli consentiti di innalzamento ed abbassamento della mano rispetto al piano del braccio articolato per cui la mano può appoggiarsi in senso radiale sul suscettore discoidale solo con la parte anteriore, solo con la parte posteriore, oppure perfettamente in piano con il medesimo suscettore.
In aggiunta, i mezzi di snodo comprendono inoltre attorno al braccio tubolare cavo delle bussole antiattrito per consentire un allineamento in senso perpendicolare al raggio del suscettore discoidale della medesima mano.
Ancora di maggior preferenza, la mano ha la forma di un disco di diametro superiore alla fetta da maneggiare e presenta una parte inferiore, affacciata verso la fetta, munita di una sede rientrante che impegna solo un orlo periferico esterno della medesima fetta.
In più l’orlo periferico della fetta è conformato come una regione smussata che collega la superficie superiore alla superficie laterale della medesima fetta.
Di preferenza, la sede è presente su una parte inferiore della mano ed è munita di una pluralità di fori periferici in comunicazione con una camera interna alla medesima mano che, a sua volta, comunica con il braccio tubolare cavo per applicare depressione tra la fetta e la mano.
Di massima preferenza, i fori periferici sono maggiormente concentrati dove si prevedono maggiori perdite di depressione.
In un particolare esempio di realizzazione, si impiega un telemetro a laser il quale misura una distanza tra un emettitore laser ed il suscettore a disco della camera di reazione, sotto esame, producendo un segnale analogico proporzionale alla detta distanza, dove detto telemetro rileva difetti di planarità del suscettore, come pure difetti di parallelismo tra il suscettore e la camera di reazione.
Inoltre, si impiega una tacca praticata nell’orlo esterno del suscettore come riferimento angolare rilevabile dal telemetro laser e le cave per le fette sono contate a partire da questa tacca.
Secondo la presente invenzione si realizza anche un metodo per posare una fetta in una sede di un suscettore discoidale, presente in una camera di reazione, mediante una mano di un dispositivo, come sopra definito, caratterizzato dal fatto che una fetta, tenuta aderente alla mano per depressione, entra nella camera di reazione tenuta sollevata, si porta fino a sopra una della cave del suscettore discoidale, scende per posarsi sulla medesima cava rimanendo inclinata in avanti in modo da toccare la cava inizialmente in un punto radialmente più interno, successivamente con tutta la superficie, quindi, dopo aver tolto la depressione che tiene unita la fetta alla mano, scende ancora staccando la mano dalla fetta, poi la mano si risolleva, staccandosi completamente dalla fetta ed infine si ritira uscendo fuori dalla camera di reazione.
In alternativa, il metodo per prelevare una fetta da una sede di un suscettore discoidale, presente in una camera· di reazione, mediante una mano di un dispositivo, come sopra definito, è caratterizzato dal fatto che la mano entra nella camera di reazione tenuta sollevata, si porta fino a sopra una fetta ospitata in una delle cave del suscettore discoidale, si abbassa fino a toccare la fetta, prima su un punto radialmente interno e quindi sull’ intera circonferenza della fetta, poi, dopo aver toccato la fetta sull’intera circonferenza, applica depressione per portare la fetta ad aderire con il suo bordo alla mano e quindi comincia a risalire staccando la fetta dalla cava, prima in un punto radialmente esterno e poi sull’intera superficie della fetta, ed infine, dopo aver sollevato completamente la fetta dalla cava del suscettore, la porta fuori dalla camera di reazione .
Le caratteristiche della presente invenzione saranno definite nelle rivendicazioni formanti la parte conclusiva della sua descrizione. Tuttavia, altre caratteristiche e pregi risulteranno dalla seguente descrizione dettagliata di un suo esempio di realizzazione, data a scopo illustrativo e non limitativo, nella quale:
- la figura 1 è una vista dall’alto sezionata di un reattore epitassiale a disco servito da un robot esterno e da un robot interno secondo l’invenzione; - la figura 2 è una vista laterale in sezione e raccorciata di un utensile di presa, o mano, situato all’estremo di un braccio estensibile del robot interno secondo la presente invenzione;
- la figura 3 è una vista dall’alto in sezione e raccorciata del medesimo utensile di presa, o mano;
- la figura 4 è una vista parziale, sezionata e ingrandita del particolare della mano, racchiuso nel cerchio 4 della figura 2, intesa a mostrare come avviene l’impegno della mano con la fetta di materiale semiconduttore da trasportare;
- le figure da 5 a 8 sono viste laterali in sezione e raccorciate che illustrano una sequenza di avvicinamento ad una cava del suscettore del mezzo di presa del robot interno portante una fetta di semiconduttore per provvedere a caricare la fetta nella cava del suscettore;
- le figure da 9 a 12 sono viste laterali in sezione e raccorciate che illustrano una sequenza<' >di distacco del mezzo di presa dalla fetta caricata nella cava del suscettore
- le figure da 13 a 16 sono viste laterali in sezione e raccorciate che illustrano una sequenza di avvicinamento del mezzo di presa del robot ad una fetta di semiconduttore presente in una cava del suscettore per provvedere al prelievo della medesima fetta ; e
- le figure da 17 a 20 sono viste laterali in sezione e raccorciate che illustrano una sequenza di distacco e prelievo della fetta di semiconduttore dulia cava del suscettore.
Si consideri dapprima la figura 1 nella quale si vede un reattore epitassiale 20 comprendente una camera di reazione 22 servita da più robot per il collocamento ed il prelievo di fette 24a-e di materiale semiconduttore su un suscettore a disco 26 munito di cave 28a-e dimensionate per ospitare le medesime fette 24a-e .
Siccome le fette 24.,.,, devono essere collocate nelle e prelevate dalle cave 28.,.,. del disco 26 presente nella camera di reazione 22 senza essere manipolate manualmente, a questo proposito è stato realizzato un robot, cosiddetto interno, 30, che comprende un braccio tubolare 64 terminante con un utensile di presa o mano 70, oggetto della presente invenzione, ed un robot, cosiddetto esterno, 32. Il robot interno 30 rimane localizzato tra la camera di reazione 22 cd una camera di spurgo 34 avente il compito di trattare fette 24a-e in transito tra una prima zona o di magazzino 36 di fette ed il robot interno 30 e, viceversa, dal robot interno 30 alla prima zona di magazzino 36.
La zona di magazzino 36 è munita di due cassette 38 e 40 che servono a contenere delle fette di materiale semiconduttore da sottoporre a trattamenti nella camera di reazione 22, oppure a contenere le fette di materiale semiconduttore che abbiano subito i trattamenti nella camera di reazione 22. Inoltre, la zona di magazzino 36 contiene un braccio articolato 42, terminante con un mezzo di presa 44 del tipo a depressione, che serve ad assicurare il trasporto di fette 24 dalla cassetta 38 alla camera di spurgo 34 e, viceversa, dalla camera di spurgo 34 alla cassetta 40. Il braccio articolato 42 è già di per sé noto.
La camera di spurgo 34 comprende una prima porta a tenuta ermetica 50, di tipo ad azionamento rapido come una porta a ghigliottina, una seconda porta a tenuta ermetica 52, del medesimo tipo della porta 50, ed un disco 54 di appoggio delle fette 24 in transito entro la medesima camera di spurgo 34. Di preferenza, il disco 54 è fatto di quarzo per assicurare buona resistenza all’usura e contaminazione sostanzialmente nulla ed è munito di una rientranza 55 per ospitare il mezzo di presa 44 quando entra nella camera di spurgo 34 per depositare o prelevare una fetta 24. I! disco 54 ha massa relativamente grande, rispetto alla fetta, al fine di meglio dissipare il suo calore durante la fase di raffreddamento. La camera ili spurgo 34 serve a portare le fette 24 dall’atmosfera sostanzialmente depolvcrizzata della zona di magazzino 36, che può essere di aria o di un semplice gas inerte, come azoto, a quella della camera di reazione 22, la quale consiste principalmente di idrogeno, e viceversa dall’atmosfera sostanzialmente di idrogeno della camera di reazione 22 a quella della zona ili magazzino 26, perchè conviene evitare di introdurre gas reattivi con l<'>idrogeno nella camera di reazione e di liberare nell’atmosfera l’idrogeno assieme ai gas, che si formano nella camera di reazione durante le reazioni per le deposizioni CVD e che possono<' >essere tossici od irritanti, come per esempio HC1.
Il robot interno 30 è contenuto in una camera stagna 56 munita, oltre che della porta a tenuta ermetica 52 verso la camera di spurgo 34, anche di un’altra porta a tenuta ermetica 57 verso la camera di reazione 22 e comprende un braccio articolato 58 portante al suo estremo esterno un mezzo di presa e di trasporto 60, da descriversi più in dettaglio nelle figure da 2 a 4, che serve a trasportare le fette 24 dalla camera di spurgo 34 alla camera di reazione 22 e viceversa.
Considerando le figure da 2 a 4, si vede che un mezzo di presa e trasporto 60 secondo la presente invenzione consiste di mezzi di snodo 62, collegati al braccio articolato 58, di un braccio tubolare 64 munito di raccordo 66 da collegarsi ad un tubo flessibile 68 (visibile nella figura 1) clic, a sua volta, si collega ad una macchina pneumatica (non mostrata) come sorgente di depressione. Il braccio tubolare 64 si collega, al suo estremo distante dai mezzi di snodo 62, ad un utensile di presa o “mano” 70 che serve a trattenere una fetta 24 durante il suo trasporto dal disco 54 di appoggio delle fette presente nella camera di spurgo 34 al suscettore discoidale 26 e, viceversa, dal suscettore 26 al disco di appoggio 24.
La mano 70 è formata da due elementi 72 e 74, sagomati a corona circolare ed incollati tra di loro. L’elemento superiore 72 è munito di una coda 76, per ancoraggio ad una morsa 78 collegata al braccio tubolare 64, e forma con una coda 80 dell’elemento inferiore 74 un condotto 82 comunicante con il braccio tubolare 64. L’elemento inferiore 74 è munito di una cava circolare 84 comunicante con il condotto 82 e con dei forellini attraversanti la sua faccia rivolta verso la fetta 24. Inoltre, questo elemento inferiore 74 entra in contatto solo con un bordo limitato 25 della fetta 24 che è previsto di nessuna utilità per i trattamenti da eseguirsi sulla fetta.
Si considerino ora le figure da 5 a 8 che illustrano il procedimento del mezzo di presa 60 per depositare una fetta di semiconduttore 24 entro una cava 28 del disco di suscettore 26. Come è stato ampiamente illustrato nelle figure 2 e 3, il mezzo di presa 60 è formato da una mano 70 che si collega mediante il braccio tubolare 64 ed il raccordo 66 ad un tubo flessibile 68 comunicante, su comando, con una sorgente di depressione.
Come mostrato nella figura 5, il mezzo di presa 60 entra nella camera di reazione 22 portando una fetta 24 di semiconduttore aderente alla mano 70, dove l’adesione della fetta 24 alla mano 70 è assicurata dalla depressione simboleggiata da una freccia 90 applicata al raccordo 66. L<’>entrata nella camera di reazione 22 è simboleggiata da una prima freccia spessa 92 che indica un movimento di avanzamento del braccio articolato 58. Quindi il mezzo di presa 60 comincia a scendere verso il suscettore discoidale 26, come simboleggiato da una seconda freccia spessa 94 che indica un movimento di abbassamento del medesimo braccio articolato 58.
Fintanto che il braccio articolato 58 è così rialzato che la fetta 24 tenuta dalla mano 70 non tocca la cava 28 sul suscettore discoidale 26, il peso della mano 70 e della fetta 24 obbligano i mezzi di snodo 62 ad appoggiarsi su un cuscinetto 96 presente all’estremo del braccio 58, ruotando attorno ad un perno 98 e scendendo fino ad un punto consentito da una vite di regolazione 100. Quando il braccio 58 scende fino al punto in cui l’estremo della fetta 24 tocca la cava 28 verso l’interno del suscettore 26, come visibile nella figura 6, si arresta la discesa della mano 70 ed il mezzo di presa 60 viene ad orientarsi sempre più parallelo al suscettore 26 con inizio di sollevamento della vite 100 fino a che, come visibile nella figura 7, la fetta 24 si appoggia totalmente sulla cava 28. A questo punto si toglie la depressione, rappresentata dalla freccia 90, provocando il distacco della fetta 24 dalla mano 70. Nel frattempo prima che la fetta 24 si sia distaccata dalla mano 70 due bussole 63 e 65 di materiale antiattrito, come ceramica o Teflon consentono una rotazione del braccio tubolare 64 secondo una freccia circolare 67, indicata nella figura 3, per consentire un completo livellamento della fetta 24 nella cava 28 conferendo quindi ai mezzi di presa e trasporto 60 la caratteristica di autolivellamento propria di questa invenzione. Una volta che la fetta 24 è completamente appoggiata e livellata nella cava 28 e che l’assenza della depressione 90 ha provocato il distacco della mano 70 dalla medesima fetta, un’ulteriore discesa del braccio articolato 58 porta a sollevare la mano 70 dal lato interno della fetta 24, come visibile nella figura 8.
Esaminando ora le figure da 9 a 12 si vede come la mano 70 può distaccarsi dalla fetta 24, abbandonandola completamente nella cava 28 del suscettore 26. Infatti nella figura 9 si vede che, mentre la medesima assenza di depressione 90 mantiene sostanzialmente distaccata la mano 70 dalla fetta 24, il braccio 58 comincia a sollevarsi secondo la freccia spessa 104 fino a che la mano 70 si appoggia completamente sulla fetta 70, come visibile nella figura 10, pur rimanendo distaccata dalla fetta a causa dell’assenza di depressione 90 applicata alla mano. Un successivo rialzamento del braccio articolato 58 secondo la freccia 104 porta a sollevare sul lato esterno la mano 70, come visibile nella figura 11. Infine un ulteriore sollevamento del braccio 58 distacca completamente e solleva la mano 70 dalla fetta 24 ed un movimento di ritiro del braccio 58 secondo la freccia 106 porta i mezzi di presa e trasporto 60 fuori dalla camera di reazione 22 (vedere la figura 1).
Le figure da 13 a 16 illustrano il procedimento del mezzo di presa 60 per far posare una mano 70 su una fetta 24 alloggiata in una cava del suscettore 26 e le figure da 17 a 20 illustrano il sollevamento ed il prelievo della fetta 24 dalla cava 28 del suscettore 26.
Facendo riferimento in particolare alle figure da 13 a 16, si vede che il braccio articolato 58 con il movimento di avanzamento indicato dalla freccia 92 porta la mano 70 in allineamento con la fetta 24 mentre con il movimento di abbassamento indicato dalla freccia 94 fa avvicinare la mano alla fetta. Come visibile nella figura 14, l’abbassamento ulteriore del braccio 58 porta la mano 70 in contatto prima con il lato interno della fetta 24 ed infine, come visibile nella figura 15, in contatto con tutta la fetta 24. Le bussole 63 e 65, consentendo una rotazione attorno all’asse del braccio tubolare 64, permettono anche un completo autoallineamento della mano 70 con la fetta 24. Il braccio 58 può scendere ancora un poco, come illustrato nella figura 16, portando ad un certo distacco della mano 70 dal lato interno delia fetta 24 ma la cosa è priva di importanza.
Facendo riferimento alla figura 17, si vede che il braccio articolato 58 ricomincia a salire, come indicato dalla freccia 104, mentre al braccio tubolare 64 è applicata una depressione indicata dalla freccia 90 che fa aderire per primo il lato esterno della fetta 24 alla mano 70. Successivamente, come indicato nella figura 18, un’ulteriore risalita del braccio articolato 58 porta la mano 70 completamente aderente alla fetta 24 mentre la depressione 90 attacca la fetta 24 alla mano 70. Il successivo ulteriore sollevamento del braccio 58 fa ruotare i mezzi di snodo 62 attorno al loro perno 98 fino a che la vite 100 si appoggia sul cuscinetto 96, provocando il distacco del lato esterno della fetta 24 dalla cava 28 del suscettore 26, come visibile nella figura 19, evitando perciò ogni forzatura di distacco della fetta 24 dalla cava 28, come potrebbe succedere se si volesse distaccare la fetta 24 mantenendola parallela alla cava 28. Questo evita potenziali danni alla fetta 24 dovuti a forze eccessive applicate dalla mano 70 e possibili vibrazioni del medesimo braccio tubolare 64 dovute ad un improvviso rilascio della fetta 24 dalla cava 28. Infine, come visibile nella figura 20, un ultimo sollevamento del braccio 58 secondo la freccia 104 distacca completamente la fetta 24 dalla cava 28, mentre un movimentò di ritiro del braccio 28 secondo la freccia 106 porta la mano 70 con la fetta 24 fuori dalla camera di reazione 22 (vedere la figura 1).
Si deve notare che, per evitare eccessive deformazioni da imbarcamento delle fette 24, e/o per compensare piccoli errori di allineamento quando le medesime fette sono trasportate dalla mano 70, sono presenti mezzi per regolare la depressione applicata dalla medesima fetta 70. In particolare, la depressione deve essere massima mentre la mano 70 inizia ad impegnare le fette 24, ma viene poi regolata ad un valore prefissato quando è completo l’impegno della mano 70 con le fette 24.
Quanto è stato qui sopra esposto ha illustrato un esempio di realizzazione dell’invenzione da non considerarsi affatto come limitante l’invenzione il cui ambito di protezione sarà definito solo dalle allegate rivendicazioni. Quindi si devono considerare qui protette tutte quelle soluzioni logicamente equivalenti che possono venire in mente ad un esperto nel ramo dalla lettura della sopraddetta descrizione.
Claims (15)
- RIVENDICAZIONI 1. Dispositivo per maneggiare substrati di materiali prodotti in apparecchiature o reattori epitassiali, come fette (24) di materiali semiconduttori, comprendente: lina camera di reazione (22), un robot interno (30) per maneggiare i substrati o fette (24) di materiali semiconduttori, una camera di spurgo (34) per passare in atmosfera di pulizia le fette (24), una zona di magazzino (36) contenente delle cassette (38, 40) portanti accatastate le fette (24) di materiali semiconduttori, un robot esterno (32) per far passare le fette (24) dal magazzino (36) al robot interno (30), il robot interno (30) comprendendo una camera stagna (56) che alloggia un braccio articolato (58) il quale porta al suo estremo esterno un mezzo di presa (60), caratterizzato dal fatto che il mezzo di presa (60) del robot interno (30) comprende almeno un braccio (64) infilabile nella camera di reazione (22) terminante con un utensile di presa o mano (70) per prendere una fetta (24) di materiale semiconduttore dalla camera di spurgo (34) e trasportarla, dopo aver attraversato la camera stagna (56), a depositarsi in una cava (28) di un suscettore a disco (26) della camera di reazione (22), e viceversa, dalla cava (2S) alla camera di spurgo (34), dove la mano (70) tocca la fetta (24) su una zona periferica o bordo smussato (25), la mano afferra la fetta (24) sfruttando un effetto di depressione e la posa sul suscettore (26) a disco toccando prima la corrispondente cava (28) su un lato e quindi entrando completamente irì contatto con la medesima e viceversa, nel trasportare una fetta (24) dalla camera di reazione (22) alla camera di spurgo (34), afferra la fetta (24) sfruttando un effetto di depressione, la distacca dalla cava (28) del suscettore (26), sollevandola prima da un lato e quindi distaccandola completamente, e la posa infine su un disco di appoggio (54) contenuto nella camera di spurgo (34).
- 2. Dispositivo per maneggiare substrati, come alla rivendicazione 1, caratterizzato dal fatto che il braccio (64) è tubolare cavo, comunicante, da una parte, mediante un tubo flessibile (68) con una sorgente di depressione c dall’altra con una cava circolare (84) praticata entro la mano (70) per applicare depressione tra una faccia inferiore della medesima mano (70) ed una fetta (24) presente sotto la mano (70).
- 3. Dispositivo per maneggiare substrati, come alla rivendicazione 2, caratterizzato dal fatto che tra il braccio tubolare cavo (64) ed il braccio articolato (58) del robot interno (30) sono interposti dei mezzi di snodo (62) che consentono al braccio tubolare cavo (64) di poter alzarsi ed abbassarsi per portare la mano (70) al disopra e al disotto di un piano definito dal braccio articolato (58).
- 4. Dispositivo per maneggiare substrati, come alla rivendicazione 3, caratterizzato dal fatto che tra il braccio tubolare cavo (64) ed il braccio articolato (58) del robot interno (30) sono interposti dei mezzi di snodo (62) che consentono inoltre una rotazione del braccio tubolare cavo (64) attorno ad un suo asse longitudinale.
- 5. Dispositivo per maneggiare substrati, come alla rivendicazione 4, caratterizzato dal fatto che i mezzi di snodo (62) comprendono un cuscinetto di appoggio (96), fissato al braccio articolato(58), portante un perno di rotazione (98), attorno al quale ruotano i mezzi di snodo (62), ed una vite di regolazione (100) per fissare il livelli consentiti di innalzamento ed abbassamento della mano (70) rispetto al piano del braccio articolato (58) per cui la mano (70) può appoggiarsi in senso radiale sul suscettore discoidale (26) solo con la parte anteriore, solo con la parte posteriore, oppure perfettamente in piano con il medesimo suscettore (26).
- 6. Dispositivo per maneggiare substrati, come alla rivendicazione 5, caratterizzato dal fatto che i mezzi di snodo (62) comprendono inoltre attorno al braccio tubolare cavo (64) delle bussole antiattrito (63, 65) per consentire un allineamento in senso perpendicolare al raggio del suscettore discoidale (26) della medesima mano (70).
- 7. Dispositivo per maneggiare substrati, come alla rivendicazione 6, caratterizzato dal fatto che la mano (70) ha la forma di un disco di diametro superiore alla fetta (24) da maneggiare e presenta una parte inferiore (74), affacciata verso la fetta (24), munita di una sede rientrante che impegna solo un orlo periferico esterno (25) della medesima fetta (24).
- 8. Dispositivo per maneggiare substrati, come alla rivendicazione 7, caratterizzato dal fatto che l’orlo periferico (25) della fetta (24) è conformato come una regione smussata che collega la superficie superiore alla superficie laterale della medesima fetta.
- 9. Dispositivo per maneggiare substrati, come alla rivendicazione 7, caratterizzato dal fatto che la sede è presente su una parte inferiore (74) della mano (70) ed è munita di una pluralità di fori periferici (86) in comunicazione con una camera (84) interna alla medesima mano (70) che, a sua volta, comunica con il braccio tubolare cavo (64) per applicare depressione tra la fetta (24) e la mano (70).
- 10 Dispositivo per maneggiare substrati, come alla rivendicazione 9, caratterizzato dal fatto che i fori periferici (86) sono maggiormente concentrati dove si prevedono maggióri perdite di depressione.
- 1 1. Dispositivo per maneggiare substrati, come alle rivendicazioni precedenti, caratterizzato dal fatto che si impiega un telemetrò a laser il quale misura una distanza tra un emettitore laser ed il suscettore a disco (26) della camera di reazione (22), sotto esame, producendo un segnale analogico proporzionale alla detta distanza, dove detto telemetro rileva difetti di planarità del suscettore (26), come pure difetti di parallelismo tra il suscettore (26) e la camera di reazione (22).
- 12. Dispositivo per maneggiare substrati, come alla rivendicazione 11, caratterizzato dal fatto che si impiega una tacca praticata nell’orlo esterno del suscettore (26) come riferimento angolare rilevabile dal telemetro laser e le cave (28) per le fette (24) sono contate a partire da questa tacca.
- 13. Metodo per posare una fetta (24) in una sede (28) di un suscettore discoidale (26), presente in una camera di reazione (22), mediante una mano (70) di un dispositivo secondo le rivendicazioni precedenti caratterizzato dal fatto che una fetta (24), tenuta aderente alla mano (70) per depressione, entra nella camera di reazione (22) tenuta sollevata, si porta fino a sopra una della cave (28) del suscettore discoidale (26), scende per posarsi sulla medesima cava (28) rimanendo inclinata in avanti in modo da toccare la cava (28) inizialmente in un punto radialmente più interno, successivamente con tutta la superficie, quindi, dopo aver tolto la depressione che tiene unita la fetta (24) alla mano (70), scende ancora staccando la mano (70) dalla fetta (24), poi la mano (70) si risolleva, staccandosi completamente dalla fetta (24) ed infine si ritira uscendo fuori dalla camera di reazione (22).
- 14. Metodo per prelevare una fetta (24) da una sede (28) di un suscettore discoidale (26), presente in una camera di reazione (22), mediante una mano (70) di un dispositivo secondo le rivendicazioni da 1 a 12 caratterizzato dal fatto che la mano (70) entra nella camera di reazione (22) tenuta sollevata, si porta fino a sopra una fetta (24) ospitata in una delle cave (28) del suscettore discoidale (26), si abbassa fino a toccare la fetta (24) prima su un punto radialmente interno e quindi sull’intera la circonferenza della fetta (24), poi, dopo aver toccato la fetta sull’intera circonferenza, applica depressione per portare la fetta (24) ad aderire con il suo bordo (25) alla mano (70) e quindi comincia a risalire staccando la fetta (24) dalla cava (28), prima in un punto radialmente esterno e poi sull’intera superficie della fetta (24), ed infine, dopo aver sollevato completamente la fetta (24) dalla cava (28) del suscettore (26), la porta fuori dalla camera di reazione (22).
- 15. Metodo, come alle rivendicazioni 13 e 14, caratterizzato dal fatto che, per evitare eccessive deformazioni delle fette (24) dovute ad imbarcamento, si applica alla mano (70) una depressione che è massima all’inizio dell’impegno delle fette (24) da parte della medesima mano (70), quando le fette (24) non sono ancora completamente aderenti alla mano (70), ma successivamente dei mezzi di regolazione delle depressione riducono la medesima depressione ad un valore minore, sufficiente a mantenere l’adesione tra fette (24) e mano (70) senza provocare deformazione sostanziale delle fette (24).
Priority Applications (10)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
IT1999MI000281A IT1308606B1 (it) | 1999-02-12 | 1999-02-12 | Dispositivo per maneggiare substrati mediante un istema autolivellante a depressione in reattori epistassiali ad induzione con suscettore |
PCT/EP1999/009638 WO2000048234A1 (en) | 1999-02-12 | 1999-12-03 | Device and method for handling substrates by means of a self-levelling vacuum system in epitaxial induction reactors |
JP2000599065A JP2002536201A (ja) | 1999-02-12 | 1999-12-03 | 平坦なディスク形状サセプタを有するエピタキシャル誘導反応器における自己水平化真空システムにより基板を取り扱うための装置及びその操作方法 |
AT99962242T ATE290253T1 (de) | 1999-02-12 | 1999-12-03 | Vorrichtung und verfahren zum handhaben von substraten mittels eines selbstgleichsetzungs- vakuumsystems in epitaxie-induktionreaktoren |
DE69924040T DE69924040T8 (de) | 1999-02-12 | 1999-12-03 | Vorrichtung und verfahren zum handhaben von substraten mittels eines selbstgleichsetzungs-vakuumsystems in epitaxie-induktionreaktoren |
CNB998161306A CN1155053C (zh) | 1999-02-12 | 1999-12-03 | 在外延反应器中处理衬底的装置及其操作方法 |
US09/913,096 US6648974B1 (en) | 1999-02-12 | 1999-12-03 | Device and method for handling substrates by means of a self-leveling vacuum system in epitaxial induction |
KR1020017010259A KR100623170B1 (ko) | 1999-02-12 | 1999-12-03 | 플랫 디스크형 서스셉터를 구비한 에픽택셜 유도 반응기 내에서 셀프-레벨링 진공 시스템에 의해 기판을 핸들링하는 장치 및 그 작동 방법 |
EP99962242A EP1224691B1 (en) | 1999-02-12 | 1999-12-03 | Device and method for handling substrates by means of a self-levelling vacuum system in epitaxial induction reactors |
HK02104108.9A HK1042165A1 (zh) | 1999-02-12 | 2002-05-31 | 在感應式外延反應器中用自調平真空系統處理襯底的裝置與方法 |
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
IT1999MI000281A IT1308606B1 (it) | 1999-02-12 | 1999-02-12 | Dispositivo per maneggiare substrati mediante un istema autolivellante a depressione in reattori epistassiali ad induzione con suscettore |
Publications (2)
Publication Number | Publication Date |
---|---|
ITMI990281A1 true ITMI990281A1 (it) | 2000-08-12 |
IT1308606B1 IT1308606B1 (it) | 2002-01-08 |
Family
ID=11381870
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
IT1999MI000281A IT1308606B1 (it) | 1999-02-12 | 1999-02-12 | Dispositivo per maneggiare substrati mediante un istema autolivellante a depressione in reattori epistassiali ad induzione con suscettore |
Country Status (10)
Country | Link |
---|---|
US (1) | US6648974B1 (it) |
EP (1) | EP1224691B1 (it) |
JP (1) | JP2002536201A (it) |
KR (1) | KR100623170B1 (it) |
CN (1) | CN1155053C (it) |
AT (1) | ATE290253T1 (it) |
DE (1) | DE69924040T8 (it) |
HK (1) | HK1042165A1 (it) |
IT (1) | IT1308606B1 (it) |
WO (1) | WO2000048234A1 (it) |
Families Citing this family (387)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
ITMI20012014A1 (it) | 2001-09-27 | 2003-03-27 | Lpe Spa | Utensile per maneggiare fette e stazione per crescita epitassiale |
KR100487427B1 (ko) * | 2001-11-06 | 2005-05-03 | 엘지.필립스 엘시디 주식회사 | 액정표시장치 제조용 스퍼터 |
KR100441875B1 (ko) * | 2003-06-02 | 2004-07-27 | 주성엔지니어링(주) | 분리형 이송 챔버 |
EP1883959A1 (en) * | 2005-05-26 | 2008-02-06 | Lpe Spa | Vacuum system for wafer handling |
JP2007221031A (ja) * | 2006-02-20 | 2007-08-30 | Lintec Corp | 搬送装置及び搬送方法 |
US20070286956A1 (en) * | 2006-04-07 | 2007-12-13 | Applied Materials, Inc. | Cluster tool for epitaxial film formation |
US20080003092A1 (en) * | 2006-06-30 | 2008-01-03 | Petar Baclija | Rotary union connection |
US8986456B2 (en) | 2006-10-10 | 2015-03-24 | Asm America, Inc. | Precursor delivery system |
DE102007041332A1 (de) | 2007-08-31 | 2009-03-05 | Siemens Ag | Transferchuck zur Übertragung, insbesondere von Wafern |
US10041169B2 (en) * | 2008-05-27 | 2018-08-07 | Picosun Oy | System and method for loading a substrate holder carrying a batch of vertically placed substrates into an atomic layer deposition reactor |
US8282334B2 (en) | 2008-08-01 | 2012-10-09 | Picosun Oy | Atomic layer deposition apparatus and loading methods |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
DE202009002523U1 (de) | 2009-02-24 | 2010-07-15 | Kuka Systems Gmbh | Handhabungseinrichtung |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US8877655B2 (en) | 2010-05-07 | 2014-11-04 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US8883270B2 (en) | 2009-08-14 | 2014-11-11 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species |
CN102212877B (zh) * | 2010-07-09 | 2012-08-22 | 江苏中晟半导体设备有限公司 | 具有多个外延反应腔的mocvd系统及其操作方法 |
CN102330147B (zh) * | 2010-07-14 | 2015-11-25 | 郭志凯 | 一种硅片生产外延设备及其系统 |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US9793148B2 (en) | 2011-06-22 | 2017-10-17 | Asm Japan K.K. | Method for positioning wafers in multiple wafer transport |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US8967935B2 (en) * | 2011-07-06 | 2015-03-03 | Tel Nexx, Inc. | Substrate loader and unloader |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
KR101271246B1 (ko) * | 2011-08-02 | 2013-06-07 | 주식회사 유진테크 | 에피택셜 공정을 위한 반도체 제조설비 |
JP6106176B2 (ja) * | 2011-09-14 | 2017-03-29 | ブルックス オートメーション インコーポレイテッド | ロードステーション |
US9096931B2 (en) | 2011-10-27 | 2015-08-04 | Asm America, Inc | Deposition valve assembly and method of heating the same |
US9341296B2 (en) | 2011-10-27 | 2016-05-17 | Asm America, Inc. | Heater jacket for a fluid line |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US9167625B2 (en) | 2011-11-23 | 2015-10-20 | Asm Ip Holding B.V. | Radiation shielding for a substrate holder |
US9005539B2 (en) | 2011-11-23 | 2015-04-14 | Asm Ip Holding B.V. | Chamber sealing member |
US9202727B2 (en) | 2012-03-02 | 2015-12-01 | ASM IP Holding | Susceptor heater shim |
US8946830B2 (en) | 2012-04-04 | 2015-02-03 | Asm Ip Holdings B.V. | Metal oxide protective layer for a semiconductor device |
TWI622664B (zh) | 2012-05-02 | 2018-05-01 | Asm智慧財產控股公司 | 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法 |
US8728832B2 (en) | 2012-05-07 | 2014-05-20 | Asm Ip Holdings B.V. | Semiconductor device dielectric interface layer |
US8933375B2 (en) | 2012-06-27 | 2015-01-13 | Asm Ip Holding B.V. | Susceptor heater and method of heating a substrate |
US9558931B2 (en) | 2012-07-27 | 2017-01-31 | Asm Ip Holding B.V. | System and method for gas-phase sulfur passivation of a semiconductor surface |
US9117866B2 (en) * | 2012-07-31 | 2015-08-25 | Asm Ip Holding B.V. | Apparatus and method for calculating a wafer position in a processing chamber under process conditions |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US9169975B2 (en) | 2012-08-28 | 2015-10-27 | Asm Ip Holding B.V. | Systems and methods for mass flow controller verification |
US9021985B2 (en) | 2012-09-12 | 2015-05-05 | Asm Ip Holdings B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
US9324811B2 (en) | 2012-09-26 | 2016-04-26 | Asm Ip Holding B.V. | Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US9640416B2 (en) | 2012-12-26 | 2017-05-02 | Asm Ip Holding B.V. | Single-and dual-chamber module-attachable wafer-handling chamber |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US8894870B2 (en) | 2013-02-01 | 2014-11-25 | Asm Ip Holding B.V. | Multi-step method and apparatus for etching compounds containing a metal |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US8993054B2 (en) | 2013-07-12 | 2015-03-31 | Asm Ip Holding B.V. | Method and system to reduce outgassing in a reaction chamber |
US9018111B2 (en) | 2013-07-22 | 2015-04-28 | Asm Ip Holding B.V. | Semiconductor reaction chamber with plasma capabilities |
US9793115B2 (en) | 2013-08-14 | 2017-10-17 | Asm Ip Holding B.V. | Structures and devices including germanium-tin films and methods of forming same |
US9396934B2 (en) | 2013-08-14 | 2016-07-19 | Asm Ip Holding B.V. | Methods of forming films including germanium tin and structures and devices including the films |
CN107818932B (zh) * | 2013-09-09 | 2020-02-14 | 北京北方华创微电子装备有限公司 | 转盘定位装置、装载传输系统及等离子体加工设备 |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
US9556516B2 (en) | 2013-10-09 | 2017-01-31 | ASM IP Holding B.V | Method for forming Ti-containing film by PEALD using TDMAT or TDEAT |
US9605343B2 (en) | 2013-11-13 | 2017-03-28 | Asm Ip Holding B.V. | Method for forming conformal carbon films, structures conformal carbon film, and system of forming same |
US10179947B2 (en) | 2013-11-26 | 2019-01-15 | Asm Ip Holding B.V. | Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US9447498B2 (en) | 2014-03-18 | 2016-09-20 | Asm Ip Holding B.V. | Method for performing uniform processing in gas system-sharing multiple reaction chambers |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US9404587B2 (en) | 2014-04-24 | 2016-08-02 | ASM IP Holding B.V | Lockout tagout for semiconductor vacuum valve |
WO2016001863A1 (en) | 2014-07-03 | 2016-01-07 | Lpe S.P.A. | Tool for manipulating substrates, manipulation method and epitaxial reactor |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9543180B2 (en) | 2014-08-01 | 2017-01-10 | Asm Ip Holding B.V. | Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
KR102300403B1 (ko) | 2014-11-19 | 2021-09-09 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
KR101666786B1 (ko) * | 2014-11-21 | 2016-10-17 | (주) 씨앤아이테크놀로지 | 반도체 패키지의 전자파 차폐막 형성을 위한 점착패드 제조장치 및 이를 이용한 점착패드 제조방법 |
CN105632972B (zh) * | 2014-12-01 | 2019-02-19 | 北京北方华创微电子装备有限公司 | 反应腔室 |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
US9478415B2 (en) | 2015-02-13 | 2016-10-25 | Asm Ip Holding B.V. | Method for forming film having low resistance and shallow junction depth |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US9899291B2 (en) | 2015-07-13 | 2018-02-20 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US10043661B2 (en) | 2015-07-13 | 2018-08-07 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US10083836B2 (en) | 2015-07-24 | 2018-09-25 | Asm Ip Holding B.V. | Formation of boron-doped titanium metal films with high work function |
US10087525B2 (en) | 2015-08-04 | 2018-10-02 | Asm Ip Holding B.V. | Variable gap hard stop design |
US9647114B2 (en) | 2015-08-14 | 2017-05-09 | Asm Ip Holding B.V. | Methods of forming highly p-type doped germanium tin films and structures and devices including the films |
US9711345B2 (en) | 2015-08-25 | 2017-07-18 | Asm Ip Holding B.V. | Method for forming aluminum nitride-based film by PEALD |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US9909214B2 (en) | 2015-10-15 | 2018-03-06 | Asm Ip Holding B.V. | Method for depositing dielectric film in trenches by PEALD |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US9455138B1 (en) | 2015-11-10 | 2016-09-27 | Asm Ip Holding B.V. | Method for forming dielectric film in trenches by PEALD using H-containing gas |
US9905420B2 (en) | 2015-12-01 | 2018-02-27 | Asm Ip Holding B.V. | Methods of forming silicon germanium tin films and structures and devices including the films |
US9607837B1 (en) | 2015-12-21 | 2017-03-28 | Asm Ip Holding B.V. | Method for forming silicon oxide cap layer for solid state diffusion process |
US9735024B2 (en) | 2015-12-28 | 2017-08-15 | Asm Ip Holding B.V. | Method of atomic layer etching using functional group-containing fluorocarbon |
US9627221B1 (en) | 2015-12-28 | 2017-04-18 | Asm Ip Holding B.V. | Continuous process incorporating atomic layer etching |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
CN105619406B (zh) * | 2015-12-31 | 2017-10-17 | 北京七星华创电子股份有限公司 | 多指机械手片叉的校准方法 |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US9754779B1 (en) | 2016-02-19 | 2017-09-05 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10087522B2 (en) | 2016-04-21 | 2018-10-02 | Asm Ip Holding B.V. | Deposition of metal borides |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US9793135B1 (en) | 2016-07-14 | 2017-10-17 | ASM IP Holding B.V | Method of cyclic dry etching using etchant film |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
KR102354490B1 (ko) | 2016-07-27 | 2022-01-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10177025B2 (en) | 2016-07-28 | 2019-01-08 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10090316B2 (en) | 2016-09-01 | 2018-10-02 | Asm Ip Holding B.V. | 3D stacked multilayer semiconductor memory using doped select transistor channel |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US9916980B1 (en) | 2016-12-15 | 2018-03-13 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
KR102700194B1 (ko) | 2016-12-19 | 2024-08-28 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10103040B1 (en) | 2017-03-31 | 2018-10-16 | Asm Ip Holding B.V. | Apparatus and method for manufacturing a semiconductor device |
USD830981S1 (en) | 2017-04-07 | 2018-10-16 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate processing apparatus |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10236177B1 (en) | 2017-08-22 | 2019-03-19 | ASM IP Holding B.V.. | Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
JP7214724B2 (ja) | 2017-11-27 | 2023-01-30 | エーエスエム アイピー ホールディング ビー.ブイ. | バッチ炉で利用されるウェハカセットを収納するための収納装置 |
WO2019103610A1 (en) | 2017-11-27 | 2019-05-31 | Asm Ip Holding B.V. | Apparatus including a clean mini environment |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
TWI799494B (zh) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
CN111630203A (zh) | 2018-01-19 | 2020-09-04 | Asm Ip私人控股有限公司 | 通过等离子体辅助沉积来沉积间隙填充层的方法 |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
JP7124098B2 (ja) | 2018-02-14 | 2022-08-23 | エーエスエム・アイピー・ホールディング・ベー・フェー | 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法 |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
TWI843623B (zh) | 2018-05-08 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構 |
KR20190129718A (ko) | 2018-05-11 | 2019-11-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
TWI840362B (zh) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 水氣降低的晶圓處置腔室 |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
TW202409324A (zh) | 2018-06-27 | 2024-03-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料之循環沉積製程 |
WO2020003000A1 (en) | 2018-06-27 | 2020-01-02 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
KR102686758B1 (ko) | 2018-06-29 | 2024-07-18 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102707956B1 (ko) | 2018-09-11 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
TWI844567B (zh) | 2018-10-01 | 2024-06-11 | 荷蘭商Asm Ip私人控股有限公司 | 基材保持裝置、含有此裝置之系統及其使用之方法 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
JP7504584B2 (ja) | 2018-12-14 | 2024-06-24 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム |
TWI819180B (zh) | 2019-01-17 | 2023-10-21 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
KR20200091543A (ko) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
KR20200102357A (ko) | 2019-02-20 | 2020-08-31 | 에이에스엠 아이피 홀딩 비.브이. | 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법 |
JP2020136678A (ja) | 2019-02-20 | 2020-08-31 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材表面内に形成された凹部を充填するための方法および装置 |
TWI845607B (zh) | 2019-02-20 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
TWI842826B (zh) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
US11742198B2 (en) | 2019-03-08 | 2023-08-29 | Asm Ip Holding B.V. | Structure including SiOCN layer and method of forming same |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
KR20200116033A (ko) | 2019-03-28 | 2020-10-08 | 에이에스엠 아이피 홀딩 비.브이. | 도어 개방기 및 이를 구비한 기판 처리 장치 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
KR20200123380A (ko) | 2019-04-19 | 2020-10-29 | 에이에스엠 아이피 홀딩 비.브이. | 층 형성 방법 및 장치 |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141003A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 가스 감지기를 포함하는 기상 반응기 시스템 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
TWI839544B (zh) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | 形成形貌受控的非晶碳聚合物膜之方法 |
KR20210010817A (ko) | 2019-07-19 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법 |
CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
CN118422165A (zh) | 2019-08-05 | 2024-08-02 | Asm Ip私人控股有限公司 | 用于化学源容器的液位传感器 |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
TWI846953B (zh) | 2019-10-08 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
KR20210043460A (ko) | 2019-10-10 | 2021-04-21 | 에이에스엠 아이피 홀딩 비.브이. | 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
KR20210065848A (ko) | 2019-11-26 | 2021-06-04 | 에이에스엠 아이피 홀딩 비.브이. | 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법 |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP7527928B2 (ja) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
TW202125596A (zh) | 2019-12-17 | 2021-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成氮化釩層之方法以及包括該氮化釩層之結構 |
US11527403B2 (en) | 2019-12-19 | 2022-12-13 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
KR20210084058A (ko) * | 2019-12-27 | 2021-07-07 | 삼성전자주식회사 | 기판 이송 장치 및 이를 이용한 기판 이송 시스템 |
KR20210089079A (ko) | 2020-01-06 | 2021-07-15 | 에이에스엠 아이피 홀딩 비.브이. | 채널형 리프트 핀 |
TW202140135A (zh) | 2020-01-06 | 2021-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 氣體供應總成以及閥板總成 |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
TW202146882A (zh) | 2020-02-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
KR20210116249A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법 |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
CN113394086A (zh) | 2020-03-12 | 2021-09-14 | Asm Ip私人控股有限公司 | 用于制造具有目标拓扑轮廓的层结构的方法 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
KR20210128343A (ko) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
TW202146831A (zh) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法 |
JP2021172884A (ja) | 2020-04-24 | 2021-11-01 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体 |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
TW202147543A (zh) | 2020-05-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 半導體處理系統 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202146699A (zh) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統 |
KR20210143653A (ko) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
KR102702526B1 (ko) | 2020-05-22 | 2024-09-03 | 에이에스엠 아이피 홀딩 비.브이. | 과산화수소를 사용하여 박막을 증착하기 위한 장치 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202212620A (zh) | 2020-06-02 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
KR102707957B1 (ko) | 2020-07-08 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
TW202219628A (zh) | 2020-07-17 | 2022-05-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於光微影之結構與方法 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
KR20220027026A (ko) | 2020-08-26 | 2022-03-07 | 에이에스엠 아이피 홀딩 비.브이. | 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템 |
TW202229601A (zh) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
KR20220045900A (ko) | 2020-10-06 | 2022-04-13 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치 |
CN114293174A (zh) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | 气体供应单元和包括气体供应单元的衬底处理设备 |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
KR20220053482A (ko) | 2020-10-22 | 2022-04-29 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
TW202235675A (zh) | 2020-11-30 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 注入器、及基板處理設備 |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
Family Cites Families (17)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
GB1068514A (en) * | 1963-05-03 | 1967-05-10 | Headley Townsend Backhouse | Improvements in or relating to suction grippers |
US4129328A (en) * | 1977-06-20 | 1978-12-12 | Littell Edmund R | Plate handling apparatus with load deflection compensation |
US4433951A (en) * | 1981-02-13 | 1984-02-28 | Lam Research Corporation | Modular loadlock |
US4588343A (en) * | 1984-05-18 | 1986-05-13 | Varian Associates, Inc. | Workpiece lifting and holding apparatus |
US4915564A (en) * | 1986-04-04 | 1990-04-10 | Materials Research Corporation | Method and apparatus for handling and processing wafer-like materials |
JPS6365640A (ja) * | 1986-09-05 | 1988-03-24 | Kokusai Electric Co Ltd | ウエハの着脱方法及び装置 |
JPS6387831U (it) * | 1986-11-26 | 1988-06-08 | ||
US4828224A (en) * | 1987-10-15 | 1989-05-09 | Epsilon Technology, Inc. | Chemical vapor deposition system |
US5843233A (en) * | 1990-07-16 | 1998-12-01 | Novellus Systems, Inc. | Exclusion guard and gas-based substrate protection for chemical vapor deposition apparatus |
US5172922A (en) * | 1991-04-25 | 1992-12-22 | Digital Equipment Corporation | Self aligning vacuum nozzle |
US5766360A (en) * | 1992-03-27 | 1998-06-16 | Kabushiki Kaisha Toshiba | Substrate processing apparatus and substrate processing method |
US5626675A (en) * | 1993-11-18 | 1997-05-06 | Tokyo Electron Limited | Resist processing apparatus, substrate processing apparatus and method of transferring a processed article |
KR100310249B1 (ko) * | 1995-08-05 | 2001-12-17 | 엔도 마코토 | 기판처리장치 |
US5915915A (en) * | 1996-03-07 | 1999-06-29 | Komag, Incorporated | End effector and method for loading and unloading disks at a processing station |
JP2772283B2 (ja) * | 1996-07-16 | 1998-07-02 | 山形日本電気株式会社 | 真空吸着ピンセットおよびその吸着方法 |
US5961169A (en) * | 1998-07-27 | 1999-10-05 | Strasbaugh | Apparatus for sensing the presence of a wafer |
US6517130B1 (en) * | 2000-03-14 | 2003-02-11 | Applied Materials, Inc. | Self positioning vacuum chuck |
-
1999
- 1999-02-12 IT IT1999MI000281A patent/IT1308606B1/it active
- 1999-12-03 CN CNB998161306A patent/CN1155053C/zh not_active Expired - Fee Related
- 1999-12-03 WO PCT/EP1999/009638 patent/WO2000048234A1/en active IP Right Grant
- 1999-12-03 JP JP2000599065A patent/JP2002536201A/ja active Pending
- 1999-12-03 EP EP99962242A patent/EP1224691B1/en not_active Expired - Lifetime
- 1999-12-03 AT AT99962242T patent/ATE290253T1/de not_active IP Right Cessation
- 1999-12-03 DE DE69924040T patent/DE69924040T8/de active Active
- 1999-12-03 US US09/913,096 patent/US6648974B1/en not_active Expired - Fee Related
- 1999-12-03 KR KR1020017010259A patent/KR100623170B1/ko not_active IP Right Cessation
-
2002
- 2002-05-31 HK HK02104108.9A patent/HK1042165A1/zh unknown
Also Published As
Publication number | Publication date |
---|---|
DE69924040T2 (de) | 2006-02-09 |
IT1308606B1 (it) | 2002-01-08 |
DE69924040D1 (de) | 2005-04-07 |
HK1042165A1 (zh) | 2002-08-02 |
EP1224691B1 (en) | 2005-03-02 |
DE69924040T8 (de) | 2006-04-27 |
CN1334959A (zh) | 2002-02-06 |
JP2002536201A (ja) | 2002-10-29 |
WO2000048234A1 (en) | 2000-08-17 |
KR20010110435A (ko) | 2001-12-13 |
ATE290253T1 (de) | 2005-03-15 |
US6648974B1 (en) | 2003-11-18 |
CN1155053C (zh) | 2004-06-23 |
EP1224691A1 (en) | 2002-07-24 |
KR100623170B1 (ko) | 2006-09-18 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
ITMI990281A1 (it) | Dispositivo per maneggiare substrati mediante un istema autolivellante a depressione in reattori epistassiali ad induzione con suscettore di | |
JP4358108B2 (ja) | コーティング装置における搭載、支持及び取り出しのための一組の器具 | |
WO2012096466A2 (ko) | 박막 증착 장치 및 이를 포함한 기판 처리 시스템 | |
TWI677051B (zh) | 晶舟支撐台及使用其之熱處理裝置 | |
TW200832592A (en) | Substrate processing apparatus and manufacturing method for a semiconductor device | |
JPWO2007018139A1 (ja) | 半導体装置の製造方法および基板処理装置 | |
US11898246B2 (en) | Vapor deposition device | |
US20220199398A1 (en) | Vapor deposition method and vapor deposition device | |
JPH1022226A (ja) | エピタキシャルウエハ製造方法及び装置 | |
JPS6317521A (ja) | ウエ−ハボ−トの搬送方法 | |
US20220064790A1 (en) | Vapor deposition device | |
JPH1126387A (ja) | ウェーハアダプタおよびその使用方法 | |
US12100590B2 (en) | Vapor deposition method and vapor deposition device | |
TWI840890B (zh) | 一種晶圓傳送裝置、氣相沉積系統及使用方法 | |
US20220228262A1 (en) | Vapor deposition device and carrier used in same | |
US20230009579A1 (en) | Vapor deposition device and vapor deposition method | |
JPH0312751Y2 (it) | ||
JP2591202Y2 (ja) | 縦型cvd装置 | |
JPH1053489A (ja) | エピタキシャル成長炉用サセプタ及びエピタキシャル成長炉 | |
JP2021097180A (ja) | 気相成長装置及び気相成長処理方法 | |
JP2000031243A (ja) | 縦型cvd装置 | |
JPWO2007000824A1 (ja) | 半導体製造装置用反応室及び半導体製造装置 | |
JPH02191356A (ja) | サセプタ、サセプタ装置及びサセプタからのウエーハ取り出し方法 | |
JP2014067798A (ja) | 基板処理装置、半導体装置の製造方法及び基板載置方法 | |
JP2006100290A (ja) | 熱処理装置 |