JP2002075890A - 熱処理装置の降温レート制御方法および熱処理装置 - Google Patents

熱処理装置の降温レート制御方法および熱処理装置

Info

Publication number
JP2002075890A
JP2002075890A JP2000252249A JP2000252249A JP2002075890A JP 2002075890 A JP2002075890 A JP 2002075890A JP 2000252249 A JP2000252249 A JP 2000252249A JP 2000252249 A JP2000252249 A JP 2000252249A JP 2002075890 A JP2002075890 A JP 2002075890A
Authority
JP
Japan
Prior art keywords
zone
temperature
heater
heat treatment
rate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2000252249A
Other languages
English (en)
Other versions
JP4365017B2 (ja
Inventor
Kazuhiko Kato
和彦 加藤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2000252249A priority Critical patent/JP4365017B2/ja
Priority to US09/932,942 priority patent/US6403927B1/en
Priority to EP01203167A priority patent/EP1182692B1/en
Publication of JP2002075890A publication Critical patent/JP2002075890A/ja
Application granted granted Critical
Publication of JP4365017B2 publication Critical patent/JP4365017B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection

Abstract

(57)【要約】 【課題】 ゾーン毎の降温レートのバラツキを抑制する
と共に全体の降温レートを速くして、熱動特性の向上を
図る。 【解決手段】 多数の被処理体wを所定間隔で配列支持
した状態で処理容器3内に収容し、該処理容器3の周囲
に設けた円筒状のヒータ4により前記被処理体wを加熱
して所定の熱処理を施した後、ヒータ4を降温させるに
際して、ヒータ4内を複数のゾーンに分けて温度を検知
し、その検知温度に対応して降温レートの遅いゾーンに
速いゾーンよりも冷却気体を多く送り込んで遅いゾーン
の降温レートを速いゾーンの降温レートに近づけるよう
に制御する。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、熱処理装置の降温
レート制御方法および熱処理装置に関する。
【0002】
【従来の技術】半導体装置の製造においては、被処理体
例えば半導体ウエハに酸化、拡散、アニール、CVD等
の処理を施す半導体製造装置として、例えば一度に多数
枚の半導体ウエハの処理が可能なバッチ式の縦型熱処理
装置が用いられている。この熱処理装置においては、一
般的に、多数枚のウエハを所定間隔で配列支持した状態
で処理容器内に収容し、該処理容器の周囲に設けた円筒
状のヒータにより前記ウエハを加熱して所定の熱処理を
施すようになっている。前記ヒータは、円筒状の断熱材
の内周に線状の抵抗発熱体を螺旋状もしくは蛇行状に配
設してなる。
【0003】このような熱処理装置のヒータにおいて
は、ウエハの面間均一な熱処理を可能とするために、抵
抗発熱体を高さ方向に複数のゾーンに分割してゾーン毎
に独立した加熱制御が可能に構成されていることが好ま
しい。一方、前記ヒータを冷却する方法としては、自然
冷却(空冷、水冷を含む。)による方法と、強制冷却に
よる方法とが知られている。
【0004】
【発明が解決しようとする課題】しかしながら、前記熱
処理装置においては、自然冷却または強制冷却を行う
と、図4に示すように、放熱等の影響によりゾーン毎の
降温レートにバラツキが発生し、ウエハの処理ロット内
の熱履歴が異なってしまう問題があった。図4により、
ヒータの降温レートは、下部ゾーンおよび上部ゾーンで
速く、中央部ゾーンで遅いことが分かる。
【0005】前記問題を解決するために、例えば、自然
冷却の場合、速いゾーンにおける抵抗発熱体に電圧をか
けてその降温レートを遅いゾーンの降温レートに近づけ
るようにしているが、ヒータの構造上、速いゾーンであ
る下部ゾーンにかけた熱が対流、輻射、熱伝導等によ
り、遅いゾーンである中央ゾーンをも暖めてしまい、結
果として、全体の降温レートが遅くなる問題があった。
【0006】また、強制冷却の場合、速いゾーンに吹き
込む空気量を手動バルブで調整してその降温レートを遅
いゾーンの降温レートに近づけるようにしているが、調
整とレート確認の作業が繰り返えされ、作業が大変であ
った。また、前記何れの場合においても、降温レートの
遅いゾーンに合わせ込む方法が取られているため、熱処
理装置の熱動特性を悪くしていた。
【0007】本発明は、前記事情を考慮してなされたも
ので、ゾーン毎の降温レートのバラツキを抑制すること
ができると共に全体の降温レートを速くすることがで
き、熱動特性の向上が図れる熱処理装置の降温レート制
御方法および熱処理装置を提供することを目的とする。
【0008】
【課題を解決するための手段】本発明のうち、請求項1
に係る発明は、多数の被処理体を所定間隔で配列支持し
た状態で処理容器内に収容し、該処理容器の周囲に設け
た円筒状のヒータにより前記被処理体を加熱して所定の
熱処理を施した後、ヒータを降温させるに際して、ヒー
タ内を複数のゾーンに分けて温度を検知し、その検知温
度に対応して降温レートの遅いゾーンに速いゾーンより
も冷却気体を多く送り込んで遅いゾーンの降温レートを
速いゾーンの降温レートに近づけるように制御すること
を特徴とする。
【0009】請求項2に係る発明は、多数の被処理体を
所定間隔で配列支持した状態で処理容器内に収容し、該
処理容器の周囲に設けたヒータにより前記被処理体を加
熱して所定の熱処理を行う熱処理装置において、前記ヒ
ータ内を複数のゾーンに分けて各ゾーンの温度を検知す
る温度検知部と、ヒータ内の各ゾーンに冷却気体を送り
込んで冷却する送風配管と、該送風配管に設けられゾー
ン毎に冷却気体の送り込み量を調節可能な制御弁と、前
記温度検知部による検知温度に対応して降温レートの遅
いゾーンに速いゾーンよりも冷却気体を多く送り込んで
遅いゾーンの降温レートを速いゾーンの降温レートに近
づけるように前記制御弁を制御する制御部とを備えたこ
とを特徴とする。
【0010】請求項3に係る発明は、多数の被処理体を
所定間隔で配列支持した状態で処理容器内に収容し、該
処理容器の周囲に設けたヒータにより前記被処理体を加
熱して所定の熱処理を施す熱処理装置において、前記ヒ
ータ内を複数のゾーンに分けて各ゾーンの温度を検知す
る温度検知部と、ヒータ内の各ゾーンの雰囲気を外部へ
排気する排気配管と、該排気配管に設けられゾーン毎に
排気量の調節が可能な制御弁と、前記温度検知部による
検知温度に対応して降温レートの遅いゾーンの雰囲気を
速いゾーンの雰囲気よりも多く外部へ排気して遅いゾー
ンの降温レートを速いゾーンの降温レートに近づけるよ
うに前記制御弁を制御する制御部とを備えたことを特徴
とする。
【0011】
【発明の実施の形態】以下に、本発明の実施の形態を添
付図面に基いて詳述する。
【0012】図1は、本発明を縦型熱処理装置に適用し
た第1の実施の形態を示す概略的縦断面図である。図示
例の縦型熱処理装置は、例えば高温炉や拡散炉を構成す
る縦型の熱処理炉1を備えている。この熱処理炉1は、
多数例えば150枚程度の被処理体例えば半導体ウエハ
wを支持具である例えば石英製のボート2に高さ方向に
所定間隔で配列支持した状態で収容する処理容器である
反応管3と、この反応管3の周囲を覆う如く設置され反
応管3内を所望の温度例えば600〜1200℃程度に
加熱可能な加熱手段である円筒状のヒータ4と、このヒ
ータ4と反応管3の間に配置された均熱管5とを備えて
いる。
【0013】前記反応管3は、耐熱性および耐食性を有
する材料例えば石英からなり、上端が閉塞され、下端が
開口した縦長円筒状に形成されている。反応管3の下側
部には、処理ガスや不活性ガスを導入するガス導入管
や、反応管内を排気する排気管等が設けらている(図示
省略)。反応管3は、内管と外管の二重管構造になって
いても良い。
【0014】前記反応管3の下端部は、ベースプレート
6の下側に図示しない取付部材により取付けられてい
る。ベースプレート6は、例えばステンレス製であり、
縦型熱処理装置の筐体内に水平に設けられている。この
ベースプレート6には、反応管3を上下方向に挿通する
開口部7が形成され、その開口部7にはこの開口部7か
らの放熱を抑制するために断熱材8が取付けられてい
る。
【0015】反応管3の下方には、その下端開口部を開
閉する例えばステンレス製の蓋体9が図示しない昇降機
構により昇降可能に設けられている。この蓋体9上には
前記ボート2が保温筒10を介して載置されている。昇
降機構により、反応管3内へのボート2の搬入搬出と前
記蓋体9の開閉が行われるようになっている。また、蓋
体9には、半導体ウエハwを面内均一に処理するために
ボート2を保温筒10と共に回転させる回転機構が設け
られている(図示省略)。
【0016】前記ヒータ4は、反応管3の周囲を取り囲
む円筒状の断熱材11の内周に線状の抵抗発熱体12を
周方向に蛇行状もしくは長手方向に螺旋状に配設して構
成されている。前記ヒータ4は、抵抗発熱体12が高さ
方向に複数例えば5つのゾーンZ1〜Z5に分割され、
各ゾーン毎に独立して温度制御が可能に構成されていて
る。断熱材11の外側は、図示しない水冷ジャケットで
覆われている。前記ヒータ4はベースプレート6上に設
置されている。
【0017】前記均熱管5は、反応管3内のウエハwに
対する加熱温度の均一性を図ると共に、ヒータ4の抵抗
発熱体等から放出される金属によるウエハwの汚染を防
止するもので、例えば炭化珪素(SiC)により形成さ
れている。この均熱管5は、反応管3の周囲を取り囲む
如く、上端が閉塞され下端が開放された縦長円筒状に形
成されており、前記ベースプレート6部分の断熱材8上
に設置されている。
【0018】そして、前記熱処理炉1には、熱処理後、
ヒータ4を降温させるに際して、前記ヒータ4内(すな
わち熱処理炉1内)を複数のゾーンに分けて温度を検知
し、その検知温度に対応して降温レートの遅いゾーンに
速いゾーンよりも冷却気体を多く送り込んで遅いゾーン
の降温レートを速いゾーンの降温レートに近づけるよう
に制御するための制御システム13が設けられている。
この制御システム13は、前記ヒータ4内を複数のゾー
ンに分けて各ゾーンの温度を検知する温度検知部14
と、ヒータ4内の各ゾーンに冷却気体例えば空気を送り
込んで冷却する送風配管15と、該送風配管15に設け
られゾーン毎に冷却気体例えば空気の送り込み量を調節
可能な制御弁16と、前記温度検知部14による検知温
度に対応して降温レートの遅いゾーン例えば中央部ゾー
ンZ3に速いゾーン例えば下部ゾーンZ5よりも冷却気
体を多く送り込んで遅いゾーンの降温レートを速いゾー
ンの降温レートに近づけるように前記制御弁16を制御
する制御部(コントローラ)17とから主に構成されて
いる。
【0019】前記温度検知部14は、例えば熱電対から
なり、前記ヒータ4内の例えば反応管3と均熱管5との
間の空間部に、例えばヒータ4の発熱ゾーンZ1〜Z5
に対応して設けられている。これらの温度検知部14
は、一本の石英製の保護管内に収容されていても良い。
【0020】前記送風配管15の上流端には、冷却気体
例えば空気(クリーンエア)を送り込むためのブロワ
(押し込みブロワ)18が設けられている。送風配管1
5は、ゾーンに対応して複数例えば5つに分岐され、そ
れぞれに制御弁16が設けられ、各下流端がヒータ4の
側部を貫通してヒータ4の内部空間における各ゾーンに
挿入されている。前記制御弁16は、例えばアクチュエ
ータ駆動バルブからなっている。
【0021】前記制御部17は、例えば降温レートの速
いゾーンの降温レートを設定しておくことにより、各ゾ
ーンの温度を温度検知部14により検出して設定レート
にすべく対応する送風配管15の制御弁16を開閉し、
ヒータ4内(炉内)の全体を設定レートに制御するよう
に構成されている。なお、前記ヒータ4には、ヒータ4
内の雰囲気を外部へ排出するためのブロワ(吸出しブロ
ワ)19を備えた排気管20が設けられている。
【0022】次に、以上の構成からなる縦型熱処理装置
の作用およびその降温レート制御方法について述べる。
先ず、ウエハwの移載が終了したボート2は、ヒータ4
下方のローディングエリアにおいて、蓋体9上の保温筒
10上に載置され、次に、昇降機構による蓋体9の上昇
によってボート2を反応管3内にその下端開口から装入
し、その開口を蓋体9で気密に閉じる。そして、反応管
3内を排気しながらボート2上のウエハwをヒータ4に
より所定の処理温度に昇温させ、反応管3内に所定の処
理ガスを導入してウエハwに所定の熱処理例えば拡散処
理を施す。
【0023】所定の熱処理が終了したなら、先ず、ヒー
タ4の電源を切り、ブロワ18の駆動により送風配管1
5を介して冷却気体である空気をヒータ4内に送り込
み、ヒータ4内を強制的に冷却する。この場合、前記ヒ
ータ4内を複数のゾーンに分けて温度を検知し、その検
知温度に対応して降温レートの遅いゾーン例えば中央部
ゾーンZ3に速いゾーン例えば下部ゾーンZ5よりも空
気を多く送り込んで遅いゾーンの降温レートを速いゾー
ンの降温レートに近づける(近似させる)ように制御す
る。これにより、ゾーン毎の降温レートのバラツキを抑
制することが可能となると共に全体の降温レートを速く
することが可能となる。従来の熱処理炉の制御では、パ
ワーをかける(温度を上げる)方向の制御のみであった
が、本発明により温度を下げる方向の制御を自在に行え
るようになり、熱処理炉1の熱動特性の向上が図れる。
【0024】前記縦型熱処理装置によれば、前記ヒータ
4内を複数のゾーンに分けて各ゾーンの温度を検知する
温度検知部14と、ヒータ4内の各ゾーンに冷却気体例
えば空気を送り込んで冷却する送風配管15と、該送風
配管15に設けられゾーン毎に冷却気体例えば空気の送
り込み量を調節可能な制御弁16と、前記温度検知部1
4による検知温度に対応して降温レートの遅いゾーンに
速いゾーンよりも冷却気体を多く送り込んで遅いゾーン
の降温レートを速いゾーンの降温レートに近づけるよう
に前記制御弁16を制御する制御部17とを備えている
ため、自動制御によりゾーン毎の降温レートのバラツキ
を抑制することが可能となると共に全体の降温レートを
速くすることが可能となり、熱動特性の向上が図れる。
【0025】図2は、本発明を縦型熱処理装置に適用し
た第2の実施の形態を示す概略的縦断面図である。図2
の実施の形態において、前記図1の実施の形態と同一部
分は同一参照符号を付して説明を省略し、異なる部分に
ついて説明する。図2の実施の形態では、ヒータ4の内
部空間(図示例ではヒータ4と均熱管5との間の空間、
均熱管5が無い場合は、ヒータ4と反応管3との間の空
間)が仕切板21によってゾーン毎に仕切られており、
各ゾーンを単独で冷却できるようになっている。
【0026】前記仕切板21は、例えば石英からなって
いる。なお、ヒータ4には、各ゾーンから内部雰囲気を
個別に排気するための排気口ないし排気管22が設けら
れていることが好ましい。図2の実施の形態の縦型熱処
理装置によれば、図1の実施の形態の縦型熱処理装置と
同様の作用効果を奏することができる。また、ヒータ4
の内部空間をゾーン毎に仕切板21で仕切ることによ
り、各ゾーンを単独で冷却できるように構成されている
ため、強制冷却の場合だけでなく、改善手段の乏しい自
然冷却の場合におけるゾーン間温度差等も、降温レート
の遅いゾーンのみに冷却気体を流すことで改善可能であ
る。
【0027】図3は、本発明を縦型熱処理装置に適用し
た第3の実施の形態を示す概略的縦断面図である。図3
の実施の形態において、前記図1ないし図2の実施の形
態と同一部分は同一参照符号を付して説明を省略し、異
なる部分について説明する。前記ヒータ4には、仕切板
21で仕切られたヒータ4内の各ゾーンの雰囲気を外部
へ排気する排気配管23が設けられ、該排気配管23に
はゾーン毎に排気量の調節が可能な制御弁24が設けら
れている。排気配管23の下流端は合流され、排気用の
ブロワ(吸出しブロワ)25が接続されている。
【0028】前記制御弁24は、前記温度検知部14に
よる検知温度に対応して降温レートの遅いゾーンの雰囲
気を速いゾーンの雰囲気よりも多く外部へ排気して遅い
ゾーンの降温レートを速いゾーンの降温レートに近づけ
るように制御部(コントローラ)26によって制御され
るように構成されている。なお、ヒータ4には、各ゾー
ンに空気を導入する導入口ないし導入管27が設けられ
ていることが好ましい。
【0029】図3の実施の形態の縦型熱処理装置によれ
ば、降温レートの遅いゾーンの雰囲気を速いゾーンの雰
囲気よりも多く外部へ排気して遅いゾーンの降温レート
を速いゾーンの降温レートに近づけるように制御される
ため、自動制御によりゾーン毎の降温レートのバラツキ
を抑制することができると共に全体の降温レートを速く
することができ、熱動特性の向上が図れる。
【0030】以上、本発明の実施の形態を図面により詳
述してきたが、本発明は前記実施の形態に限定されるも
のではなく、本発明の要旨を逸脱しない範囲での種々の
設計変更等が可能である。例えば、熱処理炉は減圧タイ
プであっても良く、その場合、反応管の下端部にはガス
導入管および排気管を有する金属製例えばステンレス製
のマニホールドが気密に接続され、排気管には真空ポン
プや圧力制御弁を備えた真空排気系が接続される。ま
た、熱処理炉としては、CVD炉等の低温炉であっても
良く、その場合、均熱管が設けられていなくても良い。
【0031】本発明は、縦型熱処理装置だけでなく、横
形熱処理装置にも適用可能である。熱処理装置として
は、請求項2の発明と請求項3の発明を組み合わせ、ヒ
ータの冷却気体導入側と排気側の両方で制御を行うよう
にしても良い。被処理体としては、半導体ウエハ以外
に、例えばガラス基板やLCD基板等が適用可能であ
る。
【0032】
【発明の効果】以上要するに本発明によれば、次のよう
な効果を奏することができる。
【0033】(1)請求項1に係る発明によれば、多数
の被処理体を所定間隔で配列支持した状態で処理容器内
に収容し、該処理容器の周囲に設けた円筒状のヒータに
より前記被処理体を加熱して所定の熱処理を施した後、
ヒータを降温させるに際して、ヒータ内を複数のゾーン
に分けて温度を検知し、その検知温度に対応して降温レ
ートの遅いゾーンに速いゾーンよりも冷却気体を多く送
り込んで遅いゾーンの降温レートを速いゾーンの降温レ
ートに近づけるように制御するため、ゾーン毎の降温レ
ートのバラツキを抑制することができると共に全体の降
温レートを速くすることができ、熱動特性の向上が図れ
る。
【0034】(2)請求項2に係る発明によれば、多数
の被処理体を所定間隔で配列支持した状態で処理容器内
に収容し、該処理容器の周囲に設けたヒータにより前記
被処理体を加熱して所定の熱処理を施す熱処理装置にお
いて、前記ヒータ内を複数のゾーンに分けて各ゾーンの
温度を検知する温度検知部と、ヒータ内の各ゾーンに冷
却気体を送り込んで冷却する送風配管と、該送風配管に
設けられゾーン毎に冷却気体の送り込み量を調節可能な
制御弁と、前記温度検知部による検知温度に対応して降
温レートの遅いゾーンに速いゾーンよりも冷却気体を多
く送り込んで遅いゾーンの降温レートを速いゾーンの降
温レートに近づけるように前記制御弁を制御する制御部
とを備えているため、自動制御によりゾーン毎の降温レ
ートのバラツキを抑制することができると共に全体の降
温レートを速くすることができ、熱動特性の向上が図れ
る。
【0035】(3)請求項4に係る発明によれば、多数
の被処理体を所定間隔で配列支持した状態で処理容器内
に収容し、該処理容器の周囲に設けたヒータにより前記
被処理体を加熱して所定の熱処理を施す熱処理装置にお
いて、前記ヒータ内を複数のゾーンに分けて各ゾーンの
温度を検知する温度検知部と、ヒータ内の各ゾーンの雰
囲気を外部へ排気する排気配管と、該排気配管に設けら
れゾーン毎に排気量の調節が可能な制御弁と、前記温度
検知部による検知温度に対応して降温レートの遅いゾー
ンの雰囲気を速いゾーンの雰囲気よりも多く外部へ排気
して遅いゾーンの降温レートを速いゾーンの降温レート
に近づけるように前記制御弁を制御する制御部とを備え
ているため、自動制御によりゾーン毎の降温レートのバ
ラツキを抑制することができると共に全体の降温レート
を速くすることができ、熱動特性の向上が図れる。
【図面の簡単な説明】
【図1】本発明を縦型熱処理装置に適用した第1の実施
の形態を示す概略的縦断面図である。
【図2】本発明を縦型熱処理装置に適用した第2の実施
の形態を示す概略的縦断面図である。
【図3】本発明を縦型熱処理装置に適用した第3の実施
の形態を示す概略的縦断面図である。
【図4】熱処理炉におけるゾーン降温レートを説明する
グラフである。
【符号の説明】
w 半導体ウエハ(被処理体) 3 反応管(処理容器) 4 ヒータ 14 温度検知部 15 送風配管 16 制御弁 17 制御部 23 排気配管 24 制御弁 26 制御部
───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.7 識別記号 FI テーマコート゛(参考) H01L 21/324 H01L 21/324 W T

Claims (3)

    【特許請求の範囲】
  1. 【請求項1】 多数の被処理体を所定間隔で配列支持し
    た状態で処理容器内に収容し、該処理容器の周囲に設け
    た円筒状のヒータにより前記被処理体を加熱して所定の
    熱処理を施した後、ヒータを降温させるに際して、ヒー
    タ内を複数のゾーンに分けて温度を検知し、その検知温
    度に対応して降温レートの遅いゾーンに速いゾーンより
    も冷却気体を多く送り込んで遅いゾーンの降温レートを
    速いゾーンの降温レートに近づけるように制御すること
    を特徴とする熱処理装置の降温レート制御方法。
  2. 【請求項2】 多数の被処理体を所定間隔で配列支持し
    た状態で処理容器内に収容し、該処理容器の周囲に設け
    たヒータにより前記被処理体を加熱して所定の熱処理を
    施す熱処理装置において、前記ヒータ内を複数のゾーン
    に分けて各ゾーンの温度を検知する温度検知部と、ヒー
    タ内の各ゾーンに冷却気体を送り込んで冷却する送風配
    管と、該送風配管に設けられゾーン毎に冷却気体の送り
    込み量を調節可能な制御弁と、前記温度検知部による検
    知温度に対応して降温レートの遅いゾーンに速いゾーン
    よりも冷却気体を多く送り込んで遅いゾーンの降温レー
    トを速いゾーンの降温レートに近づけるように前記制御
    弁を制御する制御部とを備えたことを特徴とする熱処理
    装置。
  3. 【請求項3】 多数の被処理体を所定間隔で配列支持し
    た状態で処理容器内に収容し、該処理容器の周囲に設け
    たヒータにより前記被処理体を加熱して所定の熱処理を
    施す熱処理装置において、前記ヒータ内を複数のゾーン
    に分けて各ゾーンの温度を検知する温度検知部と、ヒー
    タ内の各ゾーンの雰囲気を外部へ排気する排気配管と、
    該排気配管に設けられゾーン毎に排気量の調節が可能な
    制御弁と、前記温度検知部による検知温度に対応して降
    温レートの遅いゾーンの雰囲気を速いゾーンの雰囲気よ
    りも多く外部へ排気して遅いゾーンの降温レートを速い
    ゾーンの降温レートに近づけるように前記制御弁を制御
    する制御部とを備えたことを特徴とする熱処理装置。
JP2000252249A 2000-08-23 2000-08-23 熱処理装置の降温レート制御方法および熱処理装置 Expired - Lifetime JP4365017B2 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2000252249A JP4365017B2 (ja) 2000-08-23 2000-08-23 熱処理装置の降温レート制御方法および熱処理装置
US09/932,942 US6403927B1 (en) 2000-08-23 2001-08-21 Heat-processing apparatus and method of semiconductor process
EP01203167A EP1182692B1 (en) 2000-08-23 2001-08-22 Heat-processing apparatus and method for semiconductor processing

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2000252249A JP4365017B2 (ja) 2000-08-23 2000-08-23 熱処理装置の降温レート制御方法および熱処理装置

Publications (2)

Publication Number Publication Date
JP2002075890A true JP2002075890A (ja) 2002-03-15
JP4365017B2 JP4365017B2 (ja) 2009-11-18

Family

ID=18741519

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000252249A Expired - Lifetime JP4365017B2 (ja) 2000-08-23 2000-08-23 熱処理装置の降温レート制御方法および熱処理装置

Country Status (3)

Country Link
US (1) US6403927B1 (ja)
EP (1) EP1182692B1 (ja)
JP (1) JP4365017B2 (ja)

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002364983A (ja) * 2001-06-07 2002-12-18 Koyo Thermo System Kk 連続熱処理炉
JP2004281703A (ja) * 2003-03-14 2004-10-07 Koyo Thermo System Kk 枚葉式熱処理装置
WO2007097199A1 (ja) * 2006-02-20 2007-08-30 Tokyo Electron Limited 熱処理装置、ヒータ及びその製造方法
WO2008016143A1 (fr) * 2006-08-04 2008-02-07 Hitachi Kokusai Electric Inc. Appareil de traitement de substrat et procédé de fabrication d'un dispositif semi-conducteur
US7727780B2 (en) 2007-01-26 2010-06-01 Hitachi Kokusai Electric Inc. Substrate processing method and semiconductor manufacturing apparatus
JP2011216854A (ja) * 2010-03-15 2011-10-27 Hitachi Kokusai Electric Inc 熱処理装置及び基板処理方法
JP2012182310A (ja) * 2011-03-01 2012-09-20 Tokyo Electron Ltd 熱処理装置およびその制御方法
JP2013062361A (ja) * 2011-09-13 2013-04-04 Tokyo Electron Ltd 熱処理装置、温度制御システム、熱処理方法、温度制御方法及びその熱処理方法又はその温度制御方法を実行させるためのプログラムを記録した記録媒体
KR101503570B1 (ko) 2011-02-18 2015-03-17 도쿄엘렉트론가부시키가이샤 열처리 장치 및 열처리 장치의 온도 측정 방법
JP2017199874A (ja) * 2016-04-28 2017-11-02 光洋サーモシステム株式会社 熱処理装置
WO2018100826A1 (ja) * 2016-11-30 2018-06-07 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
WO2018105113A1 (ja) * 2016-12-09 2018-06-14 株式会社日立国際電気 基板処理装置、クーリングユニット及び断熱構造体
JP2019054232A (ja) * 2017-09-12 2019-04-04 株式会社Kokusai Electric クーリングユニット、断熱構造体及び基板処理装置、半導体装置の製造方法並びにプログラム
JP2019194958A (ja) * 2018-05-02 2019-11-07 東京エレクトロン株式会社 熱処理装置
KR20200063080A (ko) 2018-11-27 2020-06-04 도쿄엘렉트론가부시키가이샤 열처리 장치 및 열처리 방법
US11043402B2 (en) 2017-09-12 2021-06-22 Kokusai Electric Corporation Cooling unit, heat insulating structure, and substrate processing apparatus

Families Citing this family (285)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6319569B1 (en) * 1998-11-30 2001-11-20 Howmet Research Corporation Method of controlling vapor deposition substrate temperature
JP2003213421A (ja) * 2002-01-21 2003-07-30 Hitachi Kokusai Electric Inc 基板処理装置
JP3910151B2 (ja) * 2003-04-01 2007-04-25 東京エレクトロン株式会社 熱処理方法及び熱処理装置
JP4642349B2 (ja) * 2003-12-26 2011-03-02 東京エレクトロン株式会社 縦型熱処理装置及びその低温域温度収束方法
US7026580B2 (en) * 2004-03-26 2006-04-11 Taiwan Semiconductor Manufacturing Co., Ltd. Adjustable exhaust flow for thermal uniformity
JP4607678B2 (ja) * 2005-06-15 2011-01-05 東京エレクトロン株式会社 熱処理装置、ヒータ及びヒータの製造方法
US7371998B2 (en) * 2006-07-05 2008-05-13 Semitool, Inc. Thermal wafer processor
JP5090097B2 (ja) * 2007-07-26 2012-12-05 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及び基板処理方法
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5504793B2 (ja) * 2009-09-26 2014-05-28 東京エレクトロン株式会社 熱処理装置及び冷却方法
KR20110112074A (ko) * 2010-04-06 2011-10-12 삼성전자주식회사 기판 처리 장치 및 방법
JP5405667B2 (ja) * 2010-07-22 2014-02-05 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
US9513003B2 (en) * 2010-08-16 2016-12-06 Purpose Company Limited Combustion apparatus, method for combustion control, board, combustion control system and water heater
JP2012080080A (ja) * 2010-09-07 2012-04-19 Tokyo Electron Ltd 縦型熱処理装置及びその制御方法
JP5893280B2 (ja) * 2010-09-09 2016-03-23 東京エレクトロン株式会社 縦型熱処理装置
US20130153201A1 (en) * 2010-12-30 2013-06-20 Poole Ventura, Inc. Thermal diffusion chamber with cooling tubes
US8950470B2 (en) * 2010-12-30 2015-02-10 Poole Ventura, Inc. Thermal diffusion chamber control device and method
US20120168143A1 (en) * 2010-12-30 2012-07-05 Poole Ventura, Inc. Thermal Diffusion Chamber With Heat Exchanger
JP5645718B2 (ja) * 2011-03-07 2014-12-24 東京エレクトロン株式会社 熱処理装置
US20120244684A1 (en) * 2011-03-24 2012-09-27 Kunihiko Suzuki Film-forming apparatus and method
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP2015072937A (ja) * 2013-10-01 2015-04-16 株式会社東芝 半導体製造装置、半導体製造方法及びプロセスチューブ
JP6158025B2 (ja) * 2013-10-02 2017-07-05 株式会社ニューフレアテクノロジー 成膜装置及び成膜方法
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
DE102015104932B3 (de) * 2015-03-31 2016-06-02 Heraeus Noblelight Gmbh Vorrichtung zur Wärmebehandlung
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US20170207078A1 (en) * 2016-01-15 2017-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Atomic layer deposition apparatus and semiconductor process
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) * 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
JP7055075B2 (ja) * 2018-07-20 2022-04-15 東京エレクトロン株式会社 熱処理装置及び熱処理方法
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11127607B2 (en) * 2019-11-11 2021-09-21 Xia Tai Xin Semiconductor (Qing Dao) Ltd. Heat processing system
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) * 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
JP2022152426A (ja) * 2021-03-29 2022-10-12 東京エレクトロン株式会社 熱処理装置及び熱処理方法
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
CN113310309A (zh) * 2021-06-01 2021-08-27 北京北方华创微电子装备有限公司 立式炉及立式炉的炉体温度控制方法
CN113436996A (zh) * 2021-06-22 2021-09-24 北京北方华创微电子装备有限公司 用于热处理设备的冷却装置及热处理设备
US20230060692A1 (en) * 2021-08-30 2023-03-02 Taiwan Semiconductor Manufacturing Company Ltd. Annealing apparatus and method of operating the same
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN117419498B (zh) * 2023-12-18 2024-03-08 盛吉盛半导体科技(无锡)有限公司 一种渐变式冷却装置及其冷却方法

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3330166B2 (ja) * 1992-12-04 2002-09-30 東京エレクトロン株式会社 処理装置
JP3177722B2 (ja) 1993-06-15 2001-06-18 東京エレクトロン株式会社 高速熱処理炉の温度制御装置
JP3151092B2 (ja) 1993-06-30 2001-04-03 東京エレクトロン株式会社 熱処理装置及び熱処理方法
JP3471100B2 (ja) * 1994-11-07 2003-11-25 東京エレクトロン株式会社 縦型熱処理装置
JP3242281B2 (ja) * 1995-03-13 2001-12-25 東京エレクトロン株式会社 熱処理装置
US6005225A (en) * 1997-03-28 1999-12-21 Silicon Valley Group, Inc. Thermal processing apparatus
US6198075B1 (en) * 1998-11-25 2001-03-06 Yield Engineering Systems, Inc. Rapid heating and cooling vacuum oven

Cited By (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002364983A (ja) * 2001-06-07 2002-12-18 Koyo Thermo System Kk 連続熱処理炉
JP2004281703A (ja) * 2003-03-14 2004-10-07 Koyo Thermo System Kk 枚葉式熱処理装置
US8253075B2 (en) 2006-02-20 2012-08-28 Tokyo Electron Limited Heat treatment apparatus, heater, and method for manufacturing the heater
WO2007097199A1 (ja) * 2006-02-20 2007-08-30 Tokyo Electron Limited 熱処理装置、ヒータ及びその製造方法
WO2008016143A1 (fr) * 2006-08-04 2008-02-07 Hitachi Kokusai Electric Inc. Appareil de traitement de substrat et procédé de fabrication d'un dispositif semi-conducteur
US7795157B2 (en) 2006-08-04 2010-09-14 Hitachi Kokusai Electric, Inc. Substrate treatment device and manufacturing method of semiconductor device
US7727780B2 (en) 2007-01-26 2010-06-01 Hitachi Kokusai Electric Inc. Substrate processing method and semiconductor manufacturing apparatus
JP2011216854A (ja) * 2010-03-15 2011-10-27 Hitachi Kokusai Electric Inc 熱処理装置及び基板処理方法
KR101503570B1 (ko) 2011-02-18 2015-03-17 도쿄엘렉트론가부시키가이샤 열처리 장치 및 열처리 장치의 온도 측정 방법
JP2012182310A (ja) * 2011-03-01 2012-09-20 Tokyo Electron Ltd 熱処理装置およびその制御方法
US8835811B2 (en) 2011-03-01 2014-09-16 Tokyo Electron Limited Thermal processing apparatus and method of controlling the same
KR101585287B1 (ko) 2011-03-01 2016-01-13 도쿄엘렉트론가부시키가이샤 열처리 장치 및 그 제어 방법
US9748122B2 (en) 2011-03-01 2017-08-29 Tokyo Electron Limited Thermal processing apparatus and method of controlling the same
JP2013062361A (ja) * 2011-09-13 2013-04-04 Tokyo Electron Ltd 熱処理装置、温度制御システム、熱処理方法、温度制御方法及びその熱処理方法又はその温度制御方法を実行させるためのプログラムを記録した記録媒体
JP2017199874A (ja) * 2016-04-28 2017-11-02 光洋サーモシステム株式会社 熱処理装置
KR102247115B1 (ko) * 2016-04-28 2021-04-30 고요 써모 시스템 가부시끼 가이샤 열처리 장치
KR20170123226A (ko) * 2016-04-28 2017-11-07 고요 써모 시스템 가부시끼 가이샤 열처리 장치
WO2018100826A1 (ja) * 2016-11-30 2018-06-07 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
JPWO2018100826A1 (ja) * 2016-11-30 2019-10-17 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
US11761087B2 (en) 2016-11-30 2023-09-19 Kokusai Electric Corporation Substrate processing apparatus and non-transitory computer-readable recording medium
WO2018105113A1 (ja) * 2016-12-09 2018-06-14 株式会社日立国際電気 基板処理装置、クーリングユニット及び断熱構造体
JPWO2018105113A1 (ja) * 2016-12-09 2019-10-24 株式会社Kokusai Electric 基板処理装置、クーリングユニット及び断熱構造体
JP2019054232A (ja) * 2017-09-12 2019-04-04 株式会社Kokusai Electric クーリングユニット、断熱構造体及び基板処理装置、半導体装置の製造方法並びにプログラム
US11043402B2 (en) 2017-09-12 2021-06-22 Kokusai Electric Corporation Cooling unit, heat insulating structure, and substrate processing apparatus
JP7122856B2 (ja) 2018-05-02 2022-08-22 東京エレクトロン株式会社 熱処理装置
KR20190126716A (ko) * 2018-05-02 2019-11-12 도쿄엘렉트론가부시키가이샤 열처리 장치
US11569098B2 (en) 2018-05-02 2023-01-31 Tokyo Electron Limited Heat treatment apparatus
KR102509241B1 (ko) * 2018-05-02 2023-03-10 도쿄엘렉트론가부시키가이샤 열처리 장치
JP2019194958A (ja) * 2018-05-02 2019-11-07 東京エレクトロン株式会社 熱処理装置
KR20200063080A (ko) 2018-11-27 2020-06-04 도쿄엘렉트론가부시키가이샤 열처리 장치 및 열처리 방법
US11114319B2 (en) 2018-11-27 2021-09-07 Tokyo Electron Limited Heat treatment apparatus and heat treatment method

Also Published As

Publication number Publication date
EP1182692A2 (en) 2002-02-27
US20020025688A1 (en) 2002-02-28
EP1182692A3 (en) 2006-05-31
US6403927B1 (en) 2002-06-11
EP1182692B1 (en) 2009-05-13
JP4365017B2 (ja) 2009-11-18

Similar Documents

Publication Publication Date Title
JP4365017B2 (ja) 熱処理装置の降温レート制御方法および熱処理装置
JP3190165B2 (ja) 縦型熱処理装置及び熱処理方法
JP4642349B2 (ja) 縦型熱処理装置及びその低温域温度収束方法
JP2000323487A (ja) 枚葉式熱処理装置
EP1443543B1 (en) Thermal treating apparatus
JP2001156005A (ja) 縦型熱処理装置及び熱処理方法
JPH09260364A (ja) 熱処理方法および熱処理装置
JP3910151B2 (ja) 熱処理方法及び熱処理装置
JP2012080080A (ja) 縦型熱処理装置及びその制御方法
KR102424677B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램
JP3519436B2 (ja) 熱処理装置およびその温度制御方法
JP4468555B2 (ja) 熱処理装置および熱処理方法
JP4610771B2 (ja) 縦型熱処理装置およびその強制空冷方法
JP2007096334A (ja) 基板処理装置及び半導体装置の製造方法及び加熱装置
JP4180424B2 (ja) 基板処理装置、基板処理方法およびicの製造方法
JP2007242850A (ja) 半導体製造装置及び半導体製造方法
JP3177722B2 (ja) 高速熱処理炉の温度制御装置
JP5770042B2 (ja) 熱処理装置
JP3497317B2 (ja) 半導体熱処理方法およびそれに用いる装置
JP4185395B2 (ja) 基板処理装置及び半導体装置の製造方法
JP4495717B2 (ja) 基板処理装置及び半導体装置の製造方法
JP5613471B2 (ja) 縦型熱処理装置及びその制御方法
JP2003051497A (ja) 熱処理方法および熱処理装置
JP2006222327A (ja) 基板処理装置
JP2007066934A (ja) 基板処理装置

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20070123

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070123

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20070123

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090609

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090611

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20090724

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090724

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20090818

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20090820

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120828

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4365017

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150828

Year of fee payment: 6

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term