JP2000294645A - 半導体素子及びその製造方法 - Google Patents

半導体素子及びその製造方法

Info

Publication number
JP2000294645A
JP2000294645A JP2000088814A JP2000088814A JP2000294645A JP 2000294645 A JP2000294645 A JP 2000294645A JP 2000088814 A JP2000088814 A JP 2000088814A JP 2000088814 A JP2000088814 A JP 2000088814A JP 2000294645 A JP2000294645 A JP 2000294645A
Authority
JP
Japan
Prior art keywords
pattern
conductive layer
forming
layer pattern
insulating film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Ceased
Application number
JP2000088814A
Other languages
English (en)
Inventor
Chang Won Choi
昶源 崔
Daikaku Tei
大▲赫▼ 鄭
Yuze Kin
佑▲是▼ 金
Shinyu Nan
信佑 南
Jotetsu In
汝哲 尹
Bonshu Kin
凡洙 金
Shoko Boku
鐘浩 朴
Chikan Sai
智煥 崔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of JP2000294645A publication Critical patent/JP2000294645A/ja
Ceased legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/24Alloying of impurity materials, e.g. doping materials, electrode materials, with a semiconductor body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28114Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor characterised by the sectional shape, e.g. T, inverted-T
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/525Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body with adaptable interconnections
    • H01L23/5256Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body with adaptable interconnections comprising fuses, i.e. connections having their state changed from conductive to non-conductive
    • H01L23/5258Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body with adaptable interconnections comprising fuses, i.e. connections having their state changed from conductive to non-conductive the change of state resulting from the use of an external beam, e.g. laser beam or ion beam
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/42376Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the length or the sectional shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Ceramic Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Semiconductor Memories (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Non-Volatile Memory (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

(57)【要約】 【課題】 チャンファが形成されたシリサイド層を備
えた半導体素子及びその製造方法を提供する。 【解決手段】 半導体基板上に形成された第1絶縁膜
と、前記第1絶縁膜上に形成された第1導電層パター
ン、ならびに前記第1導電層パターン上に形成され、前
記半導体基板の主面と実質的に垂直な下部エッジ及びチ
ャンファが形成された上部エッジを備えた第2導電層パ
ターンを有するゲート構造と、前記第2導電層パターン
上に形成され、第1幅を以って前記第2導電層パターン
の上部エッジより突出された側壁を有する第2絶縁膜と
を含む。このとき、前記チャンファが形成された上部エ
ッジの形状に対応する輪郭を有するアンダーカット領域
を形成するために、フォトレジストパターンのエーシン
グ工程と同時にまたは前記エーシング工程後に同一のチ
ャンバ内で連続して行われる等方性乾式エッチング工程
を利用する。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は半導体素子及びその
製造方法に係り、特に、金属シリサイド導電層を含む半
導体素子、前記導電層からなる下部構造物によって自己
整列されるコンタクトプラグを含む半導体素子、及びこ
れらの半導体素子の製造方法に関する。
【0002】
【従来の技術】半導体素子の高集積化が進むにつれて、
下部配線膜と上部配線膜とを連結させるコンタクトホー
ルとその周辺配線との間隔が縮まり、さらに前記コンタ
クトホールのアスペクト比が増大しつつある。このた
め、多層配線構造を採用する高集積半導体素子の製造に
は、リソグラフィ工程を用いたコンタクトホールの形成
時に、正確で且つ厳しい工程条件が要求される。特に、
デザインルールが0.25μm以下である素子を製造す
る時には、現在のリソグラフィ技術では、所望の工程が
再現性良く行えない。
【0003】これにより、コンタクトホールの形成時に
リソグラフィ工程の限界を克服するため、自己整列法に
よるコンタクトホールの形成技術が開発された。この自
己整列法によるコンタクトホールの形成方法の1つとし
て、窒化膜スペーサをエッチング障壁層として使用する
方法がある。
【0004】窒化膜スペーサをエッチング障壁層として
自己整列コンタクトホールを形成する方法によると、先
ず、通常のフォトリソグラフィ工程を用いたパターニン
グ方法によって半導体基板上に略矩形の断面形状を有す
る所定の下部構造物、例えば、ゲート電極などの導電層
を形成する。次に、前記結果物の全面に窒化膜を形成し
た後にエッチバックして前記導電層の側壁に窒化膜スペ
ーサを形成する。次に、酸化膜からなる層間絶縁膜を順
次形成する。しかる後、コンタクトホール領域として予
定された部分上の層間絶縁膜を露出させるフォトレジス
トパターンを形成し、前記露出された層間絶縁膜をエッ
チングして自己整列コンタクトホールを形成する。
【0005】前述のように、従来の自己整列コンタクト
ホールの形成方法では、前記層間絶縁膜と窒化膜スペー
サとのエッチング選択比が高い条件下に前記層間絶縁膜
をエッチングしてコンタクトホールを形成する。エッチ
ング工程中には、エッチング選択比を高めるために、多
量のポリマーを発生させる過炭素炭化フッ素ガス、例え
ば、C48、C58などが使用される。
【0006】
【発明が解決しようとする課題】ところが、エッチング
選択比を高める条件下にエッチング工程を行うと、多量
のポリマーが発生されてコンタクトホールが形成される
前にエッチングが止まってしまう不都合が生じる。
【0007】一方、層間絶縁膜と窒化膜スペーサとのエ
ッチング選択比を小さくすると、コンタクトホールの形
成前にエッチングが止まってしまう問題は解消される。
ところが、層間絶縁膜のエッチング時に前記窒化膜スペ
ーサが合わせてエッチングされる可能性がある。従っ
て、エッチング後の残留窒化膜スペーサでは、前記導電
層の側壁から必要な絶縁長さが確保し難い。その結果、
前記コンタクトホール内に形成される自己整列コンタク
トと前記導電層との間に短絡が生じ易くなる。
【0008】特に、デザインルールが0.25μm以下
である高集積半導体素子の製造工程において、窒化膜な
どのエッチング障壁膜により覆われたゲート電極または
ビットラインなどの導電層上に自己整列コンタクトホー
ルを形成する場合には、前記導電層とその上に形成され
る自己整列コンタクトとの間で確保されるべき絶縁厚さ
のマージンが十分でない。従って、自己整列コンタクト
ホールの形成のためのエッチング工程時に、層間絶縁膜
とエッチング障壁膜とのエッチング選択比が小さい条件
下にエッチング工程を行うと、エッチング障壁膜が消耗
または損傷されて導電層のエッジ部分では最小限の絶縁
長さが確保され難く、前記導電層のエッジ部分がコンタ
クトホールの内部に露出され易い。
【0009】従って、高集積半導体素子を製造するため
の自己整列コンタクトホールの形成時に最適の工程条件
の工程マージンが小さく、素子の再現性が低下され、さ
らに素子動作の信頼性が低下される。
【0010】本発明は、上記事情に鑑みて成されたもの
であり、その目的は、高集積半導体素子を製造するため
の自己整列コンタクトの形成工程時に、下部導電層と自
己整列コンタクトとの間で必要な絶縁長さを確保するよ
うに工程マージンを増大させることが可能な構造を有す
る半導体素子を提供することである。
【0011】本発明の他の目的は、前述の構造を有する
下部構造物によって自己整列されるコンタクトプラグを
備えた半導体素子を提供することである。本発明のさら
に他の目的は、前述の半導体素子の製造方法を提供する
ことである。
【0012】
【課題を解決するための手段】前記目的を達成するため
に、本発明の一態様による半導体素子は、半導体基板上
に形成された第1絶縁膜と、前記第1絶縁膜上に形成さ
れた第1導電層パターン、ならびに前記第1導電層パタ
ーン上に形成され、前記半導体基板の主面と実質的に垂
直な下部エッジ及びチャンファ(chamfer)が形成された
上部エッジを備えた第2導電層パターンを有するゲート
構造と、前記第2導電層パターン上に形成され、第1幅
を有し、前記第2導電層パターンの上部エッジより突出
された側壁を有する第2絶縁膜とを含む。
【0013】前記半導体素子は、前記第1絶縁スペーサ
及び前記半導体基板の活性領域を同時に露出させる自己
整列コンタクトホール内に形成され、前記ゲート構造に
よって自己整列されるコンタクトプラグをさらに含むこ
とができる。
【0014】さらに、前記半導体素子は、前記半導体基
板上に形成され、所定の機能を有する特定回路部と、前
記半導体基板上に形成され、前記特定回路部と同一の機
能を有する冗長回路部と、前記第1絶縁膜上に形成さ
れ、前記特定回路部が不良の場合に前記特定回路部を前
記冗長回路部に代えるためにヒュージングされて除去で
き、前記ゲート構造と同一の構造を有するヒューズとを
さらに含むことができる。
【0015】さらに、前記半導体素子は、前記第2絶縁
膜を覆う平坦化された第1層間絶縁膜パターンと、前記
第1層間絶縁膜パターン上に形成されたビットライン
と、前記ビットラインの上面を覆う第3絶縁膜とをさら
に含むことができ、ここで前記ビットラインは、チャン
ファが形成された上部エッジを備えた導電パターンを有
する。
【0016】前記半導体素子は、前記ビットラインの側
壁及び前記第3絶縁膜の側壁を覆う第2絶縁スペーサを
さらに含むことができる。さらに、前記半導体素子は、
前記第3絶縁膜を覆う第2層間絶縁膜パターンと、前記
第2絶縁スペーサ及び前記半導体基板の活性領域を同時
に露出させる自己整列コンタクトホール内に形成され、
前記ビットラインによって自己整列されるコンタクトプ
ラグとをさらに含むことができる。
【0017】さらに、前記半導体素子は、前記第3絶縁
膜を覆う第2層間絶縁膜と、前記第1絶縁スペーサ、第
2絶縁スペーサ及び前記半導体基板の活性領域を同時に
露出させる自己整列コンタクトホール内に形成され、前
記ゲート構造及び前記ビットラインによって自己整列さ
れるコンタクトプラグとをさらに含むことができる。
【0018】本発明の他の態様による半導体素子は、半
導体基板上に形成された層間絶縁膜パターンと、前記層
間絶縁膜パターン上に形成され、チャンファが形成され
た上部エッジを備えた導電パターンを含むビットライン
と、前記ビットライン上に形成され、第1幅Wを有し、
前記ビットラインの上部エッジより突出された側壁を有
する絶縁膜とを含む。
【0019】本発明の一態様による半導体素子の製造方
法では、半導体基板上に第1導電層を形成する。次に、
前記第1導電層上に第2導電層を形成する。次に、前記
第2導電層上に前記第2導電層の上面の一部を露出させ
る第1マスクパターンを形成する。次に、前記第1マス
クパターンをエッチングマスクとして前記第2導電層の
一部を等方性エッチングして、前記第1マスクパターン
の底面エッジを露出させる第1アンダーカット領域を形
成する。次に、前記第1マスクパターンをエッチングマ
スクとして前記第2導電層の残りの一部を異方性エッチ
ングして、前記半導体基板の主面と実質的に垂直な下部
エッジ及び前記第1アンダーカット領域の輪郭に沿って
チャンファが形成された上部エッジを備えた第2導電層
パターンを形成する。次に、前記第1マスクパターンを
エッチングマスクとして前記第1導電層を異方性エッチ
ングして、第1導電層パターンを形成する。前記第1ア
ンダーカット領域を形成する段階は、乾式エッチング方
法または湿式エッチング方法によって行われる。
【0020】前記第1アンダーカット領域を乾式エッチ
ング方法によって形成する場合には、CF4、C26
CHF3、CO、Ar、O2、N2及びHe−O2からなる
群より選ばれる少なくともいずれか1種のガスを使用す
ることができる。前記第1アンダーカット領域を湿式エ
ッチング方法によって形成する場合には、NH4OH、
22及びH2Oの混合液を使用することができる。
【0021】本発明に係る半導体素子の製造方法におい
て、前記第1マスクパターンを形成する段階は、前記第
2導電層上に絶縁膜を形成する段階と、前記絶縁膜上に
フォトレジストパターンを形成する段階と、前記フォト
レジストパターンを用い前記絶縁膜を異方性エッチング
して、前記第1マスクパターンを形成する段階とを含
む。
【0022】前記第1マスクパターンを形成する段階後
に、前記フォトレジストパターンをエーシングして除去
する段階をさらに含み、前記第1アンダーカット領域の
形成段階は、前記フォトレジストパターンのエーシング
段階と同時に行われる。または、前記第1アンダーカッ
ト領域の形成段階は、前記フォトレジストパターンのエ
ーシング段階の直後に同一のチャンバ内で連続的に行わ
れる。
【0023】さらに、前記フォトレジストパターンをエ
ーシングして除去した後に残留する残留物をストリップ
して除去する段階をさらに含み、このとき、前記第1ア
ンダーカット領域の形成段階は、前記ストリップ段階の
直後に同一の洗浄システム内で連続的に行われる。
【0024】さらに、本発明に係る半導体素子の製造方
法においては、少なくとも前記第1導電層パターンの側
壁、第2導電層パターンの側壁及び第1マスクパターン
の側壁を覆う絶縁膜を形成する。次に、前記絶縁膜上に
平坦化された第1層間絶縁膜を形成する。次に、前記第
1層間絶縁膜を選択的にエッチングして前記半導体基板
の活性領域を露出させる自己整列コンタクトホールを形
成する。
【0025】さらに、本発明に係る半導体素子の製造方
法においては、前記第1導電層パターンを形成する段階
後に、前記第2導電層パターンをその露出された表面か
ら所定幅だけ除去してその最大幅が前記第1マスクパタ
ーンの幅及び前記第1導電層パターンの幅より小さいリ
セス(recess)された第2導電層パターンを形成する段階
をさらに含むことができる。
【0026】さらに、本発明に係る半導体素子の製造方
法においては、少なくとも前記第1導電層パターンの側
壁、リセスされた第2導電層パターンの側壁及び第1マ
スクパターンの側壁を覆う絶縁膜を形成する。次に、前
記絶縁膜上に平坦化された第1層間絶縁膜を形成する。
次に、前記第1層間絶縁膜を選択的にエッチングして前
記半導体基板の活性領域を露出させる自己整列コンタク
トホールを形成する。
【0027】さらに、本発明に係る半導体素子の製造方
法においては、前記第1マスクパターンを覆う平坦化さ
れた第1層間絶縁膜を形成する。次に、前記第1層間絶
縁膜上にビットラインを形成する。ここで、前記ビット
ラインを形成するために、前記第1層間絶縁膜上に第3
導電層を形成する。次に、前記第3導電層上に前記第3
導電層の上面の一部を露出させる第2マスクパターンを
形成する。次に、前記第2マスクパターンをエッチング
マスクとして前記第3導電層の一部を等方性エッチング
して前記第2マスクパターンの底面エッジを露出させる
第2アンダーカット領域を形成する。次に、前記第2マ
スクパターンをエッチングマスクとして前記第3導電層
の残りの一部を異方性エッチングして、前記半導体基板
の主面と実質的に垂直な下部エッジ及び前記第2アンダ
ーカット領域の輪郭に沿ってチャンファが形成された上
部エッジを備えた第3導電層パターンを形成する。
【0028】さらに、本発明に係る半導体素子の製造方
法においては、前記第1導電層パターンの側壁、第2導
電層パターンの側壁及び第1マスクパターンの側壁を覆
う第1絶縁スペーサを形成する。次に、前記第3導電層
パターンの側壁及び第2マスクパターンの側壁を覆う第
2絶縁スペーサを形成する。次に、前記第2マスクパタ
ーンを覆う第2層間絶縁膜を形成する。次に、前記第2
層間絶縁膜及び第1層間絶縁膜を選択的にエッチングし
て前記第1絶縁スペーサ、第2絶縁スペーサ及び前記半
導体基板の活性領域を同時に露出させる自己整列コンタ
クトホールを形成する。次に、前記自己整列コンタクト
ホール内に導電物質を充填して前記第1導電層パター
ン、第2導電層パターン及びビットラインによって自己
整列されるコンタクトプラグを形成する。
【0029】本発明によると、素子の電気的な特性にま
ったく悪影響せずに、前記ゲート構造と前記ゲート構造
によって自己整列されるコンタクトプラグとの間で十分
な幅を有する絶縁スペーサにより必要な絶縁長さが確保
できる。これにより、本発明は、デザインルールが0.
25μm以下である高集積半導体素子を製造する上で有
効に適用される。
【0030】さらに、本発明によると、コンタクトプラ
グをゲート構造及びビットラインに同時に自己整列され
るように形成する場合にも、ビットラインをチャンファ
が形成された上部エッジを有するように形成することが
できるので、自己整列コンタクトホールの形成のための
エッチング工程後にビットラインの側壁を覆うスペーサ
は必要な絶縁長さを確保するのに十分な幅を維持する。
これにより、素子の電気的な特性にまったく悪影響せず
に、ビットラインまたはゲート構造と、前記コンタクト
プラグとの間で十分な絶縁長さを確保することができ
る。
【0031】さらに、本発明に係る半導体素子の製造方
法においては、チャンファが形成された上部エッジを備
えた金属シリサイド層パターンを形成するための複雑な
工程追加が無い。その代わりに、チャンファが形成され
た上部エッジを備えた金属シリサイド層パターンの形成
工程は、金属シリサイド層のパターニング時に使用され
たフォトレジストパターンを除去するために必ず施され
るフォトレジストパターンのエーシング及びストリップ
工程と共に行われる。半導体素子の製造に欠けることの
できない工程を効率良く利用することにより、最小限の
工数によってアンダーカット領域の形成が可能となる。
【0032】
【発明の実施の形態】本発明の実施例は様々な形態に変
形でき、本発明の範囲が後述する実施例に限定されるも
のではない。本発明の実施例は当業界において通常の知
識を有した者に本発明をより完全に説明するために提供
されるものである。添付図面において、膜または領域の
厚さは明細書の明確性のために誇張されている。添付図
面において、同一の符号は同一の要素を表わす。さら
に、ある膜が他の膜または基板の"上部"にあると記載さ
れた場合、前記ある膜が前記他の膜または基板の上部に
直接存在することもでき、その間に他の膜が介在される
こともできる。以下、添付した図面に基づき、本発明を
さらに詳細に説明する。
【0033】(実施例1)図1は、本発明の一実施例に
従って具現された半導体素子の構成の一部を示すレイア
ウト図であって、本発明をDRAMセルに適用した場合
を説明するための図面である。
【0034】これを参照すると、それぞれワードライン
W/Lを構成する複数のゲート構造20が一定方向に延
長され、複数のビットライン40が前記ゲート構造20
の延長方向と直交する方向に延長されている。さらに、
複数の自己整列コンタクト60cが前記ゲート構造20
及び前記ビットライン40によって自己整列されるよう
に形成されている。
【0035】前記自己整列コンタクト60cは、例え
ば、COB(Capacitor OverBit li
ne)構造において、キャパシタを半導体基板の活性領
域に電気的に接続させるためのコンタクトプラグ、また
は前記ビットライン40の上部の中間パッドに接続され
るコンタクトプラグを構成できる。
【0036】前記自己整列コンタクト60cを形成する
ためのコンタクトホールの形成時に、前記ビットライン
40及びゲート構造20に同時に自己整列されるように
コンタクトホールを単一のエッチング工程により形成す
る場合には、前記ゲート構造20を覆うスペーサなどの
エッチング障壁膜はもちろん、前記ビットライン40を
覆うスペーサなどのエッチング障壁膜に過度なエッチン
グストレスが加わり、前記ゲート構造20またはビット
ライン40と前記自己整列コンタクト60cとの間で必
要な絶縁長さが確保し難い。
【0037】本発明に係る半導体素子においては、前述
のような場合であっても前記ゲート構造20またはビッ
トライン40と前記自己整列コンタクト60cとの間で
十分な絶縁長さが確保可能である。以下、これについて
具体的に説明する。
【0038】図2は、図1のII-II'線断面図である。こ
れを参照すると、前記ゲート構造20が半導体基板10
上のゲート酸化膜12上に形成されている。前記ゲート
構造20は、ポリシリコン層パターン22及び金属シリ
サイド層パターン24が順次積層されたポリサイド構造
となっている。前記金属シリサイド層パターン24は、
前記半導体基板10の主面と実質的に垂直な下部エッジ
24a及びチャンファが形成された上部エッジ24bを
備えている。
【0039】前記ゲート構造20の上面は、所定幅Wを
有する絶縁マスク26によって覆われている。前記絶縁
マスク26は、前記金属シリサイド層パターン24の上
部エッジ24bより突出された側壁26aを有する。従
って、前記金属シリサイド層パターン24の上部エッジ
24bによって前記絶縁マスク26の底面エッジ近傍に
はアンダーカット領域25が形成される。
【0040】前記ゲート構造20の側壁及び前記絶縁マ
スク26の側壁は、第1絶縁スペーサ28によって覆わ
れている。さらに、第1層間絶縁膜パターン32及び第
2層間絶縁膜パターン52を貫通して形成されたコンタ
クトホール60hを充填するコンタクトプラグ60、例
えば、ポリシリコンプラグによって前記自己整列コンタ
クト60cが構成される。
【0041】前記金属シリサイド層パターン24の上部
エッジ24bにはチャンファが形成されているので、前
記ゲート構造20と前記コンタクトプラグ60との間、
特に前記ゲート構造20のエッジ部分と前記コンタクト
プラグ60との間で第1絶縁スペーサ28の幅が十分確
保される。これにより、前記ゲート構造20と前記コン
タクトプラグ60との間で必要な絶縁長さが確保可能と
なる。
【0042】図3は、図1のIII-III'線断面図である。
これを参照すると、前記第1層間絶縁膜パターン32上
にアルミニウム(Al)またはタングステン(W)など
の金属からなるビットライン40が形成されている。前
記ビットライン40は、チャンファが形成された上部エ
ッジ40bを備えている。
【0043】前記ビットライン40の上面は、絶縁マス
ク46によって覆われている。前記絶縁マスク46は前
記ビットライン40の上部エッジ40bより突出された
側壁46bを有する。従って、前記ビットライン40の
チャンファが形成された上部エッジ40bによって前記
絶縁マスク46の底面エッジ近傍にアンダーカット領域
45が形成される。前記ビットライン40の側壁及び前
記絶縁マスク46の側壁は第2絶縁スペーサ48によっ
て覆われている。
【0044】前記ビットライン40の上部エッジ40b
にはチャンファが形成されているので、前記ビットライ
ン40とコンタクトプラグ60との間で第2絶縁スペー
サ48の幅が十分確保される。これにより、前記ビット
ライン40と前記コンタクトプラグ60との間で必要な
絶縁長さが確保可能となる。図3Aは、前記ビットライ
ン40が単一層の金属パターンによって形成された場合
を示すものであって、前記ビットライン40の構造は、
この構造に限定されない。
【0045】図4は、図3に示されたビットライン構造
40の変形実施例であって、図1のIII-III'線断面図に
対応するものである。図4を参照すると、ビットライン
40'が、前記ゲート構造20のように、ポリシリコン
層パターン42及び金属シリサイド層パターン44が順
次積層されたポリサイド構造で形成された以外は、図3
のビットライン40と同様である。
【0046】図5は、図1のIV-IV'線断面図である。前
記コンタクトプラグ60は、前記ゲート構造20及びビ
ットライン40によって自己整列されている。単一層の
金属ターン構造を有する前記ビットライン40は、チャ
ンファが形成された上部エッジ40bを備えており、前
記ゲート構造20の金属シリサイド層パターン24はチ
ャンファが形成された上部エッジ24bを備えている。
従って、前記第1絶縁スペーサ28及び第2絶縁スペー
サ48を同時に露出させるコンタクトホール60hを形
成するとき、前記第1絶縁スペーサ28及び第2絶縁ス
ペーサ48に過度なエッチングストレスが加わっても、
前記第1絶縁スペーサ28及び第2絶縁スペーサ48は
前記ゲート構造20と前記コンタクトプラグ60との
間、及び前記ビットライン40と前記コンタクトプラグ
60との間のそれぞれで必要な絶縁長さが十分確保でき
る程度に広い幅を有する。
【0047】図6は、図5に示されたビットライン構造
の変形実施例であって、図1のIV-IV'線断面図に対応す
るものである。図6を参照すると、ビットライン40'
が、前記ゲート構造20のように、ポリシリコン層パタ
ーン42及び金属シリサイド層パターン44が順次積層
されたポリサイド構造で形成されている以外は、図5の
ビットラインの構造と同様である。
【0048】図7は、図5に示されたビットライン構造
の他の変形実施例であって、図1のIV-IV'線断面図に対
応するものである。図7の実施例では、図4でのビット
ラインと同一の構造を有するビットライン40'を形成
し、通常のゲート構造20'、例えば、ドーピングされ
たポリシリコンからなるゲート構造を形成した。
【0049】図8は、本発明の他の実施例に従って具現
された不揮発性半導体メモリ素子、特にフラッシュメモ
リセルの構成の一部を示す断面図である。図中、参照番
号"a"はセル領域を表わし、参照番号"b"は周辺回路領
域を表わす。
【0050】素子分離領域610を備えた半導体基板6
00のセルアレイ領域"a"にはソース領域620及びド
レイン領域622が形成されている。セルアレイ領域"
a"にはトンネル酸化膜630、浮遊ゲート640、誘
電体膜642及び制御ゲート647が順次積層されてな
るゲート構造649が形成されている。
【0051】前記セルアレイ領域"a"において、前記制
御ゲート647は、ポリシリコン層パターン646及び
金属シリサイド層パターン648が順次積層されたポリ
サイド構造で形成されている。前記金属シリサイド層パ
ターン648は、前記半導体基板600の主面と実質的
に垂直な下部エッジ648a、及びチャンファが形成さ
れた上部エッジ648bを備えている。
【0052】前記制御ゲート647の上面は、絶縁マス
ク650によって覆われている。前記絶縁マスク650
は、前記金属シリサイド層パターン648の上部エッジ
648bより突出された側壁650aを有する。従っ
て、前記金属シリサイド層パターン648の上部エッジ
648bによって前記絶縁マスク650の底面エッジ近
傍にはアンダーカット領域655が形成される。前記ゲ
ート構造649の側壁及び前記絶縁マスク650の側壁
650aは、絶縁スペーサ658によって覆われてい
る。
【0053】さらに、前記ゲート構造649、ソース領
域620及びドレイン領域622が形成されている前記
半導体基板600とその上部に形成される金属配線層6
70とを電気的に分離させるために、これらの間に層間
絶縁膜パターン660が形成されている。前記層間絶縁
膜パターン660を貫通して形成されたコンタクトホー
ル672h内には前記ゲート構造649によって自己整
列されるコンタクトプラグ672が形成されている。前
記コンタクトプラグ672を介して前記セルアレイ領
域"a"のドレイン領域622及び前記金属配線層670
が電気的に接続される。
【0054】前記制御ゲート647を構成する金属シリ
サイド層パターン648の上部エッジ648bにはチャ
ンファが形成されているので、前記ゲート構造649と
前記コンタクトプラグ672との間、特に前記ゲート構
造649のエッジ部分と前記コンタクトプラグ672と
の間で前記絶縁スペーサ658の幅が十分確保される。
これにより、前記ゲート構造649と前記コンタクトプ
ラグ672との間で必要な絶縁長さが確保可能となる。
【0055】一般に、スタティックランダムアクセスメ
モリ(SRAM)及びダイナミックランダムアクセスメ
モリ(DRAM)などの半導体素子には、それらの製造
収率を向上させるために冗長回路が組み込まれる。これ
らの冗長回路は、半導体素子の製造工程中に生じる欠陥
によって半導体素子の収率が減少されることを防止する
ために採用されるものである。
【0056】半導体素子内で所定の機能をする特定回路
部と同じ機能を持たせて冗長回路部を構成して前記特定
回路部に冗長性を与えることにより、前記特定回路部で
生じうる欠陥によって前記半導体素子の全体の機能が損
傷されることを防止する。
【0057】不良の特定回路部を前記冗長回路部に代え
るために、半導体素子にはヒュージング可能な、すなわ
ち、レーザービームスポットによって溶断可能なヒュー
ズが取り付けられる。
【0058】図9は、それぞれ冗長回路を具備した複数
のチップを含む半導体素子の構成を示すものであって、
開ループ形成型の冗長回路を具備したチップSCの略図
である。
【0059】チップSCは、例えば、半導体メモリ素子
内で同一の機能を有する複数のメモリセルをそれぞれ含
む同一の機能を有する特定回路部N1,N2,...,N
m を含む。前記特定回路部N1,N2,...,Nm
は、それぞれこれらを活性化させるために溶断可能なヒ
ューズF1,F2,...,Fmが取り付けられている。
さらに、前記チップSC内には、前記特定回路部N1
2,...,Nmのうち非活性化されたものと取り替え
るために前記特定回路部N1,N2,...,Nmと同一
の機能を有する冗長回路部Rが形成されている。前記冗
長回路部Rを活性化させるために、ヒューズFRが溶断
可能である。
【0060】前記各ヒューズ F1,F2,...,Fm
は、ワードラインを構成するゲート構造と同時に形成さ
れるスペアゲート構造、またはビットラインと同時に形
成されるスペアビットラインによって形成できる。
【0061】図10は、本発明の好適な実施例によるヒ
ューズ付き半導体素子の断面図であって、ヒューズが前
述のようなスペアゲート構造と同時に形成される場合が
示されている。これを参照すると、半導体基板70の一
部に絶縁膜71が形成されている。前記絶縁膜71は、
隣合った半導体素子を電気的に分離させるための素子分
離膜である。図10には、前記絶縁膜71をフィールド
酸化膜から形成する場合が示されているが、前記絶縁膜
71はトレンチ素子分離法によって形成された素子分離
膜であっても構わない。前記絶縁膜71は、2000〜
8000Åの膜厚にて形成される。
【0062】前記絶縁膜71上にはヒューズ74が形成
されている。前記ヒューズ74は、ポリシリコン層パタ
ーン72及び金属シリサイド層パターン73が順次積層
されたポリサイド構造となっている。前記金属シリサイ
ド層パターン73は、前記半導体基板70の主面と実質
的に垂直な下部エッジ73a、及びチャンファが形成さ
れた上部エッジ73bを備えている。前記絶縁層71
は、前記ヒューズ74を隣合った素子、特に半導体基板
70から取り外す機能をする。
【0063】図10には、前記ヒューズ74がポリサイ
ド構造となっている場合が示されているが、前記ヒュー
ズ74は、チャンファが形成された上部エッジを備えた
単一層の金属パターンから形成しても良い。
【0064】前記ヒューズ74及び絶縁層71上には、
複数の層間絶縁膜75、76、77及び78が順次積層
されている。前記ヒューズ74の上部には、前記複数の
層間絶縁膜75、76、77、78を貫通して開口79
が形成されている。
【0065】所定の機能を有する特定回路部と同一の機
能を有する冗長回路部を活性化させ、この活性化された
冗長回路部を不良の特定回路部と取り替えるために、前
記開口79を介して前記ヒューズ74にレーザーを印加
することにより前記ヒューズ74が溶断される。
【0066】図11は、本発明の他の実施例によるヒュ
ーズ付き半導体素子の断面図であって、ヒューズが前述
のようなスペアビットラインと同時に形成される場合が
示されている。これを参照すると、半導体基板80上に
第1層間絶縁膜82が形成されている。前記第1層間絶
縁膜82は、ゲート構造などの下部構造物を上部導電層
と絶縁させるために、前記下部構造物を覆って形成され
た絶縁層である。
【0067】前記第1層間絶縁膜82上にはヒューズ8
5が形成されている。前記ヒューズ85は、ポリシリコ
ン層パターン83及び金属シリサイド層パターン84が
順次積層されたポリサイド構造となっている。前記金属
シリサイド層パターン84は、前記半導体基板80の主
面と実質的に垂直な下部エッジ84a、及びチャンファ
が形成された上部エッジ84bを備えている。
【0068】図11には、前記ヒューズ85がポリサイ
ド構造となっている場合が示されているが、前記ヒュー
ズ85はチャンファが形成された上部エッジを備えた単
一層の金属パターンから形成しても良い。
【0069】前記ヒューズ85及び第1層間絶縁膜82
上には複数の層間絶縁膜86、87及び88が順次積層
されている。前記ヒューズ85の上部には、前記複数の
層間絶縁膜86、87及び88を貫通して開口89が形
成されている。
【0070】所定の機能を有する特定回路部と同一の機
能を有する冗長回路部を活性化させ、この活性化された
冗長回路部を不良の特定回路部に取り替えるために、前
記開口89を介して前記ヒューズ85にレーザーを印加
することにより、前記ヒューズ85が溶断される。本願
の発明者らは、前述のようにチャンファが形成された金
属シリサイド層パターンを形成するための方法を開発す
るために、次のような実験を行った。
【0071】図12は、シリコンウェーハ上にタングス
テンシリサイド層(WSix層)を形成した後にエッチ
ング液を使って前記タングステンシリサイド層を等方性
エッチングするとき、前記エッチング液の温度に対する
タングステンシリサイドの消耗量の変化を示すものであ
る。
【0072】前記エッチング液としてはNH4OH、H2
2及びH2Oの混合液(以下、SCI溶液)が使用され
た。前記SCI溶液は、その総重量を基準に1.7重量
%のNH4OH及び4.1重量%の H22を含有するよ
うに製造された。
【0073】ここで、SCI溶液の温度を30℃〜90
℃の範囲にしてタングステンシリサイド層のエッチング
による消耗量を測定したところ、40℃〜80℃の範囲
内でタングステンシリサイド層の消耗量が略線形的に増
加することが確認できた(図12参照)。これから、S
CI溶液の温度を前述の温度範囲内に調節することによ
り、タングステンシリサイド層のエッチングによる消耗
量が調節できることが分かる。
【0074】図13は、シリコンウェーハ上に形成され
たタングステンシリサイド層をSCI溶液を使ってエッ
チングしたとき、エッチング時間に応じた垂直方向のエ
ッチング量(a)及び水平方向のエッチング量(b)を
比較結果である。
【0075】この実験で使用されたサンプルを製造する
ために、シリコンウェーハ上にドーピングされたポリシ
リコン層及びタングステンシリサイド層を順次形成し
た。次に、前記タングステンシリサイド層上に前記タン
グステンシリサイド層の上面の一部を覆う窒化膜パター
ンを形成した。
【0076】ここで、前記SCI溶液は、その総重量を
基準に1.7重量%のNH4OH及び4.1重量%のH2
2を含有するように製造した。この実験では、前記の
ように製造されたサンプルを複数個用意し、これらを3
分間の等方性エッチングのための第1サンプル群と10
分間の等方性エッチングのための第2サンプル群に分け
た。次に、前記第1アンプル群及び第2サンプル群をそ
れぞれ3分間(SCI3')及び10分間(SCI 1
0')等方性エッチングし、70℃に維持されるSCI
溶液を使って前記窒化膜パターンによって露出されたタ
ングステンシリサイド層のエッチングを行った。次に、
前記窒化膜パターンの底面エッジ近傍に形成されたアン
ダーカット領域でタングステンシリサイド層の垂直方向
のエッチング量(VER)及び水平方向のエッチング量
(LAT)を測定した。
【0077】その結果、得られたタングステンシリサイ
ド層の垂直方向の平均エッチング量は、SCI 3'の場
合に264Åであり、SCI 10'の場合に584Åで
あった。さらに、得られたタングステンシリサイド層の
水平方向の平均エッチング量は、SCI 3'の場合に8
2Åであり、SCI 10'の場合に345Åであった。
SCI 10'とSCI 3'とのタングステンシリサイド
層の垂直方向の平均エッチング量の差分(ΔVER)は
320Åであり、水平方向の平均エッチング量の差分
(ΔLAT)は263Åであった。
【0078】図13に示された結果に基づき、タングス
テンシリサイド層を部分的に覆う窒化膜パターンの底面
エッジ近傍で前記タングステンシリサイド層の垂直方向
または水平方向への所望のエッチング量を選択し、この
選択されたタングステンシリサイド層のエッチング量に
応じてエッチング時間を決定することができる。
【0079】理想的には、前記窒化膜パターンの底面エ
ッジ近傍に形成されるアンダーカット領域における前記
タングステンシリサイド層の垂直方向のエッチング量と
水平方向のエッチング量との比が1:1の場合である。
したがって、前述のような要求を満足するエッチング条
件が最適のエッチング条件に決定できる。
【0080】図14は、SCI溶液を使ってタングステ
ンシリサイド層を等方性エッチングするとき、最適のエ
ッチング時間を決定するための実験結果を示すものであ
る。この実験で使用されたサンプル及びエッチング液
は、図13に基づき説明された実験と同様にした。この
実験では、窒化膜パターンによって部分的に露出された
タングステンシリサイド層を70℃に維持されるSCI
溶液を使ってそれぞれ3分、5分、7分、9分及び11
分間エッチングし、前記窒化膜パターンの底面エッジ近
傍に形成されたアンダーカット領域でタングステンシリ
サイド層の垂直方向のエッチング量及び水平方向のエッ
チング量を測定した。
【0081】図14に示されたように、エッチング時間
を7分から11分まで可変させることにより、タングス
テンシリサイド層の垂直方向のエッチング量((a)に
て表示)が354Åから525Åまで可変された。さら
に、エッチング時間を3分から11分まで可変させるこ
とにより、タングステンシリサイド層の水平方向のエッ
チング量((b)にて表示)が227Åから393Åま
で可変された。
【0082】特に、エッチング時間を7分から11分ま
で可変させたとき、前記アンダーカット領域におけるタ
ングステンシリサイド層の垂直方向のエッチング量と水
平方向のエッチング量との差分(ΔUNDERCUT)
は、SCI 7'(7分間エッチング)の場合に58Å、
SCI 9'(9分間エッチング)の場合に84Å、SC
I 11'(11分間エッチング)の場合に132Åであ
った。すなわち、SCI 7'の場合に、タングステンシ
リサイド層の垂直方向のエッチング量と水平方向のエッ
チング量との比がほぼ1:1であり、他の場合に比べ最
も理想的であった。
【0083】図15は、SCI溶液を使ってタングステ
ンシリサイド層を等方性エッチングするとき、ウェーハ
の全面から得られるタングステンシリサイド層のエッチ
ング量の均一度を評価した結果を示すグラフである。こ
の実験で使用されたサンプル及びエッチング液は、図1
2に基づき説明された実験と同様にした。この実験で
は、70℃に維持されるSCI溶液を使ってタングステ
ンシリサイド層を3分間エッチングした場合(SCI
3')及び10分間エッチングした場合(SCI10')
のそれぞれに対し、前記窒化膜パターンの底面エッジ近
傍に形成されたアンダーカット領域でタングステンシリ
サイド層の垂直方向のエッチング量(VER)及び水平
方向のエッチング量(LAT)をウェーハ上のさまざま
な位置で測定した。ここで、ウェーハ上におけるタング
ステンシリサイド層のエッチング量の測定位置は、図1
6に示されている。
【0084】図15の結果から、SCI溶液によるエッ
チング時間が長引いても、ウェーハ上の各位置でエッチ
ング量の均一度が劣化しないことが分かる。以下、前述
の実験結果に基づき、本発明に係るチャンファが形成さ
れた金属シリサイド層を備えた半導体素子の製造方法に
ついて詳細に説明する。
【0085】以下の実施例では、説明の便宜上、半導体
基板上にゲート構造を形成するとき、前記ゲート構造の
形成時にチャンファが形成された金属シリサイド層を採
用する構成について説明する。しかし、本発明はこれに
限定されるものではない。さらに、ゲート構造の形成時
に適用された本発明による思想がビットラインまたはヒ
ューズなど、他の素子の製造時にも同様に適用可能なの
は言うまでもない。
【0086】(実施例1)図17〜図26は、本発明の
第1実施例による半導体素子の製造方法を説明するため
に示す工程手順断面図である。
【0087】図17を参照すると、半導体基板100上
にゲート酸化膜110を形成した後、その上にドーピン
グされたポリシリコン層120及び金属シリサイド層1
30を形成する。前記金属シリサイド層130は、例え
ば、タングステンシリサイド(WSix)、チタニウム
シリサイド(TiSiX)、タンタルシリサイド(Ta
SiX)またはコバルトシリサイド(CoSix)から
形成できる。次に、前記金属シリサイド層130上にシ
リコン窒化膜142及び高温酸化膜(HighTemp
erature Oxide、以下、HTO膜)144
を順次形成する。前記HTO膜144の形成は、場合に
よって省略可能である。しかる後、前記HTO膜144
上にフォトレジストパターン160を形成する。
【0088】図18を参照すると、前記フォトレジスト
パターン160をエッチングマスクとして前記HTO膜
144及びシリコン窒化膜140を異方性乾式エッチン
グし、シリコン窒化膜パターン142a及びHTO膜パ
ターン144aからなるマスクパターン140を形成す
る。前記マスクパターン140は、所定の幅Wmを以っ
て前記金属シリサイド層130の上面を覆うように形成
される。その結果、前記マスクパターン140によって
前記金属シリサイド層130の上面の一部が露出され
る。
【0089】図19を参照すると、前記フォトレジスト
パターン160をO2プラズマを用いたエーシング工程
によって除去する。前記エーシング工程時には、必要あ
ればN2、HeまたはHe-O2ガスを加えても良い。
【0090】次に、前記マスクパターン140をエッチ
ングマスクとして前記露出された金属シリサイド層13
0の一部を等方性乾式エッチングし、て前記マスクパタ
ーン140の下方に前記マスクパターン140の底面エ
ッジを露出させるアンダーカット領域135を形成す
る。その結果、上面に前記アンダーカット領域135の
輪郭に相応する形状の浅い溝が形成された金属シリサイ
ド層130aが形成される。
【0091】このとき、前記金属シリサイド層130の
等方性乾式エッチングのために、CF4、C26、CH
3、CO、Ar、O2、N2及びHe-O2からなる群よ
り選ばれる少なくとも1種のガスを用いたプラズマエッ
チング工程を利用することができる。さらに、エッチン
グ装置として、例えば、TCP(Transforme
r Coupled Plasma)ソース型装置または
DPS(Decoupled Plasma Sours
e)型装置を利用することができる。さらに、前述の装
置のほか、別のエッチング装置を利用することもでき
る。
【0092】例えば、エッチングガスとしてCF4及び
2の混合ガスを使用する場合には、CF4は5〜50s
ccm、O2は50〜500sccmの範囲内で選ばれ
る所定の流量にて供給される。このとき、好ましくは、
CF4とO2との流量比は約1:10である。さらに、好
ましくは、プラズマエッチングチャンバ内のウェーハス
テージの温度を100〜400℃に、工程圧力を0.5
〜3Torr(略66.5〜399Pa)に維持する。
【0093】好ましくは、前記アンダーカット領域13
5の形成のための等方性乾式エッチング工程時に、エッ
チング条件として前記金属シリサイド層130における
水平方向のエッチング量LATと垂直方向のエッチング
量VERとの比を1以上(すなわち、LAT>VER)
に設定する。
【0094】前記アンダーカット領域135の形成段階
は、前記フォトレジストパターン160のエーシング段
階と同時に行われても良い。或いは、前記アンダーカッ
ト領域135の形成段階を前記フォトレジストパターン
160のエーシング段階後に同一のチャンバ内にて連続
的に行なっても良い。
【0095】図20を参照すると、前記フォトレジスト
パターン160のエーシング工程後の残留物、例えば、
フォトレジスト残基、エッチング反応時に形成された有
機物など、ウェーハ表面に残留する汚れ物を除去するた
め、所定のストリップ溶液170を使ってストリップ工
程を行う。ストリップ工程時に前記ストリップ溶液17
0として硫酸溶液が使用できる。或いは、前記ストリッ
プ溶液170として硫酸溶液及びNH4OH、H22
びH2Oの混合液SCI溶液が併用できる。
【0096】前記ストリップ溶液170として硫酸溶液
及びSCI溶液を併用する場合には、硫酸溶液が入って
いる第1バス及びSCI溶液が入っている第2バスを同
時に具備した単一の洗浄システム内で、前記第1バス及
び第2バスを順次経由することにより前記ストリップ工
程が行われる。
【0097】好ましくは、前記SCI溶液の温度は約3
0〜90℃に、より好ましくは、約70℃に維持され
る。好ましくは、前記SCI溶液はその総重量を基準に
約0.5〜3重量%のNH 4OH及び約2〜20重量%
のH22を含む。より好ましくは、前記SCI溶液はそ
の総重量を基準に約1.5〜2重量%のNH4OH及び
約3.8〜4.5重量%のH22を含む。
【0098】前記ストリップ溶液170にSCI溶液が
含まれる場合、前記浅い溝が形成された金属シリサイド
層130aの等方性湿式エッチングが進んで、前記アン
ダーカット領域135が大きくなる場合がある。従っ
て、この場合には、図19に基づき説明されたように、
前記金属シリサイド層130の等方性乾式エッチング段
階で前記ストリップ工程時にエッチングされる量を考慮
して前記水平方向のエッチング量LAT及び垂直方向の
エッチング量VERを決定する必要がある。
【0099】図21を参照すると、前記マスクパターン
140をエッチングマスクとして前記浅い溝が形成され
た金属シリサイド層130a及び前記ドーピングされた
ポリシリコン層120を異方性乾式エッチングし、ドー
ピングされたポリシリコン層パターン120a及び金属
シリサイド層パターン132からなる第1ゲート構造1
22を形成する。
【0100】前記金属シリサイド層パターン132は、
前記半導体基板100の主面と実質的に垂直な下部エッ
ジ132a、及び前記アンダーカット領域135の輪郭
に沿ってチャンファが形成された上部エッジ132bを
備えている。
【0101】さらに、前記金属シリサイド層パターン1
32は、前記マスクパターン140の幅Wmと実質的に
同一の幅Wbを有する底面132c、及び前記マスクパ
ターン140の幅Wmより狭く、且つ前記幅Wmの半分
(すなわち、Wm/2)より大きい上面132dを備え
ている。ここで、前記金属シリサイド層パターン132
の最大幅は前記ドーピングされたポリシリコン層パター
ン120aの幅と実質的に同一である。
【0102】前記金属シリサイド層パターン132及び
前記ドーピングされたポリシリコン層パターン120a
の異方性乾式エッチング工程はそれぞれ、SF6、O2
2、HBr及びHe-O2からなる群より選ばれる少な
くとも1種のガス及びCl2ガスのガス混合物を使用す
るプラズマエッチング方法によって行われる。このと
き、エッチング装置としては、例えば、TCPソース型
装置またはDPS型装置が採用できる。さらに、前述の
エッチング装置のほか、別のエッチング装置が採用でき
る。
【0103】前述のガス混合物の組成比を適宜調節する
ことにより、前記金属シリサイドパターン132を形成
するためのエッチング工程及び前記ドーピングされたポ
リシリコン層パターン120aを形成するためのエッチ
ング工程が同時になされるようにしても良い。或いは、
まず、通常の組成比にて混合された前記ガス混合物を使
用するエッチング工程によって前記金属シリサイドパタ
ーン132を形成した後に、前記ゲート酸化膜110と
のエッチング選択比が大きくできる組成比にて混合され
た前記ガス混合物を使用するエッチング工程によって前
記ドーピングされたポリシリコン層パターン120aを
形成することもできる。
【0104】図22を参照すると、前記金属シリサイド
層パターン132及びドーピングされたポリシリコン層
パターン120aの形成のためのエッチング工程時に損
傷された前記ゲート酸化膜110の露出された部分をH
Fを用いた湿式洗浄方法によって除去し、前記半導体基
板100の表面を露出させる。前記ゲート酸化膜110
の露出された部分を除去する段階は場合によって省略し
ても良い。
【0105】しかる後、洗浄液180を用いた洗浄方法
によって前記金属シリサイド層パターン132を該露出
された表面から所定の厚さDだけ除去して、そのエッジ
部分が前記ドーピングされたポリシリコン層パターン1
20aの側壁または前記マスクパターン140の側壁に
対してリセスされた金属シリサイド層パターン133を
形成する。その結果、前記ドーピングされたポリシリコ
ン層パターン120a及びリセスされた金属シリサイド
層パターン133からなる第2ゲート構造124が形成
される。
【0106】前記洗浄液180として、約30〜60
℃、好ましくは、約50℃に維持される比較的に低温の
SCI溶液が使用できる。好ましくは、前記SCI溶液
は、その総重量を基準に約0.5〜3重量%のNH4
H及び約2〜20重量%のH22を含む。より好ましく
は、前記SCI溶液は、その総重量を基準に約0.8〜
1.3重量%のNH4OH及び約5〜5.5重量%のH2
2を含む。
【0107】このとき、前記洗浄液180によって除去
される前記金属シリサイド層パターン132の膜厚さD
は比較的に薄膜である。従って、前記リセスされた金属
シリサイド層パターン133は前記金属シリサイド層パ
ターン132と同様に、前記半導体基板100の主面と
実質的に垂直な下部エッジ133a、及び前記アンダー
カット領域135の輪郭に沿ってチャンファが形成され
た上部エッジ133bを備えている。ただ、前記洗浄液
180による湿式洗浄によって前記金属シリサイド層パ
ターン132の露出された表面から厚さDだけ除去され
るので、前記リセスされた金属シリサイド層パターン1
33その最大幅が前記マスクパターン140の幅Wmよ
り小さく、前記ドーピングされたポリシリコン層パター
ン120aの幅より小さい。
【0108】前記リセスされた金属シリサイド層パター
ン133は前記マスクパターン140の幅Wmより小さ
く、且つ前記幅Wmの半分(すなわち、Wm/2)より
大きい上面133dを備える。図23を参照すると、前
記半導体基板100の露出された表面に酸化膜112を
形成する。
【0109】図24を参照すると、前記マスクパターン
140によって覆われている第2ゲート構造124が形
成された結果物の全面にシリコン窒化膜を蒸着した後、
これをエッチバックして前記マスクパターン140の側
壁及び前記第2ゲート構造124の側壁を覆うスペーサ
185を形成する。
【0110】前記スペーサ185の形成のためのエッチ
バック工程時に、オーバーエッチングによって前記各ス
ペーサ185間の領域から前記酸化膜112を除去し、
前記半導体基板100の活性領域100aを露出させ
る。
【0111】図25を参照すると、前記スペーサ185
が形成された結果物上に平坦化された層間絶縁膜190
を形成した後、フォトレジストパターン(図示せず)を
用いコンタクトホール領域として予定された部分上の前
記第1層間絶縁膜190を選択的にエッチングして、前
記スペーサ185及び前記半導体基板100の活性領域
100aを同時に露出させる自己整列コンタクトホール
192を形成する。
【0112】図26を参照すると、前記自己整列コンタ
クトホール192内に導電物質、例えば、ドーピングさ
れたポリシリコンを充填して前記第2ゲート構造124
によって自己整列されるコンタクトプラグ195を形成
する。
【0113】前記第2ゲート構造124を構成する前記
リセスされた金属シリサイド層パターン133はチャン
ファが形成された上部エッジ133bを備えており、前
記マスクパターン140の底面エッジ近傍に前記チャン
ファが形成された上部エッジ133bに相応する輪郭を
有するアンダーカット領域135が形成されているの
で、前記第2ゲート構造124と前記コンタクトプラグ
195との間で必要な絶縁長さを前記スペーサ185に
よって確保することができる。
【0114】(実施例2)図27〜図30は、本発明の
第2実施例による半導体素子の製造方法を説明するため
に工程手順断面図である。。
【0115】図27を参照すると、第1実施例中に図1
7及び図18に基づき説明された方法と同様にして半導
体基板200上にゲート酸化膜210、ドーピングされ
たポリシリコン層220及び金属シリサイド層230を
形成し、フォトレジストパターン260を使用するパタ
ーニングによってシリコン窒化膜パターン242a及び
HTO膜パターン244aからなるマスクパターン24
0を形成する。
【0116】図28を参照すると、図19に基づき説明
された方法と同様にして前記フォトレジストパターン2
60をエーシングして除去する。図29を参照すると、
前記フォトレジストパターン260のエーシング工程後
に残留しているウェーハ上の汚れ物を除去するために硫
酸溶液からなるストリップ溶液270を使ってストリッ
プ工程を行う。
【0117】図30を参照すると、前記マスクパターン
240をエッチングマスクとしてSCI溶液272を使
って前記金属シリサイド層230の一部を等方性湿式エ
ッチングし、前記マスクパターン240の下方に前記マ
スクパターン240の底面エッジを露出させるアンダー
カット領域235を形成する。その結果、上面に前記ア
ンダーカット領域235の輪郭に相応する形状の浅い溝
が形成された金属シリサイド層230aが形成される。
【0118】前記金属シリサイド層230を等方性湿式
エッチングする時、前記SCI溶液272の温度は約3
0〜90℃、好ましくは約70℃に維持される。好まし
くは、前記SCI溶液はその総重量を基準に約0.5〜
3重量%のNH 4OH及び約2〜20重量%のH22
含む。より好ましくは、前記SCI溶液はその総重量を
基準に約1.5〜2重量%のNH4OH及び約3.8〜
4.5重量%のH22を含む。
【0119】好ましくは、前記アンダーカット領域23
5を形成するために前記金属シリサイド層230を等方
性湿式エッチングするとき、水平方向のエッチング量L
ATと垂直方向のエッチング量VERとの比を1以上
(すなわち、LAT>VER)に設定する。
【0120】前記アンダーカット領域235の形成のた
めの等方性湿式エッチング工程及び図29に基づき説明
されたストリップ工程は、ストリップのための硫酸溶液
が入っている第3バス及び前記アンダーカット領域23
5の形成のためのSCI溶液が入っている第4バスを同
時に具備した単一の洗浄システム内で前記第3バス及び
第4バスを順次経由することにより連続的に行われる。
【0121】しかる後、図21〜図26に基づき説明さ
れた方法と同様にしてチャンファが形成された上部エッ
ジを備えた金属シリサイド層パターンから形成されるゲ
ート構造及びそのゲート構造によって自己整列されるコ
ンタクトプラグを形成する。
【0122】(実施例3)図31は、本発明の第3実施
例による半導体素子の製造方法を説明するための断面図
である。第3実施例は、第1実施例中に図22に基づき
説明された前記リセスされた金属シリサイド層パターン
133の形成工程を省略した以外は、第1実施例と同様
にして行った。
【0123】そのため、第3実施例では、半導体基板3
00上のゲート酸化膜310上に形成され、ドーピング
されたポリシリコン層パターン320a及び金属シリサ
イド層パターン332からなるゲート構造322が得ら
れる。前記金属シリサイド層パターン332は前記半導
体基板300の主面と実質的に垂直な下部エッジ332
a、及びマスクパターン340の底面エッジを露出させ
るアンダーカット領域335を限定する形状のチャンフ
ァが形成された上部エッジ332bを備えている。
【0124】前記マスクパターン340は、シリコン窒
化膜パターン342a及びHTO膜パターン344aか
らなる。前記HTO膜パターン344aは場合によって
省略しても良い。さらに、前記金属シリサイド層パター
ン332は前記マスクパターン340の幅及び前記ドー
ピングされたポリシリコン層パターン320aの幅と実
質的に同一の幅を有する底面332c、及び前記マスク
パターン340の幅より小さく、前記マスクパターン3
40の幅の半分より大きい上面332dを備えている。
ここで、前記金属シリサイド層パターン332の最大幅
は前記マスクパターン340の幅及び前記ドーピングさ
れたポリシリコン層パターン320aの幅と実質的に同
一である。
【0125】第3実施例によって得られた半導体素子の
構造では、前記金属シリサイド層パターン332の上部
エッジ332bにチャンファが形成されており、これに
対応する輪郭を有する前記アンダーカット領域335が
前記マスクパターン340の底面エッジ近傍に形成され
ているので、前記ゲート構造322と、それによって自
己整列されて自己整列コンタクトホール392中に前記
半導体基板の活性領域300aと接触するように形成さ
れるコンタクトプラグ395との間で必要な絶縁長さを
スペーサ385によって確保することができる。
【0126】(実施例4)図32〜図35は、本発明の
第4実施例による半導体素子の製造方法を説明するため
の工程手順断面図である。
【0127】図32を参照すると、第1実施例中に図1
7〜図23に基づき説明された方法と同様にして半導体
基板400上にゲート酸化膜410を形成し、その上に
ドーピングされたポリシリコン層パターン420a及び
リセスされた金属シリサイド層パターン433からなる
ゲート構造424を形成する。前記ゲート構造は、その
上面が、シリコン窒化膜パターン442a及びHTO膜
パターン444aからなるマスクパターン440によっ
て覆われている。
【0128】前記リセスされた金属シリサイド層パター
ン433は、前記半導体基板400の主面と実質的に垂
直な下部エッジ433a、及びアンダーカット領域43
5を限定する形状にチャンファが形成された上部エッジ
433bを備えている。前記金属シリサイド層パターン
433の下部エッジ433bは、前記ドーピングされた
ポリシリコン層パターン420aの側壁または前記マス
クパターン440の側壁から所定の厚さD´だけリセス
されている。
【0129】しかる後、図32の結果物を完全に覆うシ
リコン窒化膜480を形成する。図33を参照すると、
前記シリコン窒化膜480が形成された結果物上に平坦
化された層間絶縁膜490を形成した後、フォトレジス
トパターン(図示せず)を用いコンタクトホール領域と
して予定された部分上の前記層間絶縁膜490を選択的
にエッチングし、前記コンタクトホール領域で前記シリ
コン窒化膜480の上面を露出させる開口491を形成
する。
【0130】図34を参照すると、前記シリコン窒化膜
480の内前記開口491を介して露出された部分をエ
ッチングによって除去することにより、前記半導体基板
400の活性領域400aを露出させる自己整列コンタ
クトホール492を形成すると同時に、前記ゲート構造
424の側壁及び前記マスクパターン440の側壁を覆
いながら、前記自己整列コンタクトホール492の幅を
限定するスペーサ485を形成する。
【0131】図35を参照すると、前記自己整列コンタ
クトホール492内に導電物質、例えば、ドーピングさ
れたポリシリコンを充填して前記ゲート構造424によ
って自己整列されるコンタクトプラグ495を形成す
る。
【0132】(実施例5)図36及び図37は、本発明
の第5実施例による半導体素子の製造方法を説明するた
めの工程手順断面図である。第5実施例では、図31に
基づき説明された第3実施例と同様にして半導体基板5
00上にゲート酸化膜510上を形成し、ドーピングさ
れたポリシリコン層パターン520a及び金属シリサイ
ド層パターン532からなるゲート構造522を形成す
る。前記金属シリサイド層パターン532は、前記半導
体基板500の主面と実質的に垂直な下部エッジ532
a、及びシリコン窒化膜パターン542a及びHTO膜
パターン544aからなるマスクパターン540の底面
エッジを露出させるアンダーカット領域535を限定す
る形状のチャンファが形成された上部エッジ532bを
備えている。
【0133】さらに、前記金属シリサイド層パターン5
32はその最大幅が前記マスクパターン540の幅また
は前記ドーピングされたポリシリコン層パターン520
aの幅と実質的に同一である。しかる後、図32に基づ
き説明された方法と同様にしてシリコン窒化膜580を
前記結果物の全面に形成する。
【0134】図37を参照すると、図33〜図35に基
づき説明された方法と同様にして前記ゲート構造522
の側壁及び前記マスクパターン540の側壁にスペーサ
585を形成すると同時に、前記層間絶縁膜590を貫
通して前記半導体基板500の活性領域500aを露出
させる自己整列コンタクトホール592を形成する。し
かる後、前記自己整列コンタクトホール592内に前記
ゲート構造522によって自己整列されるコンタクトプ
ラグ595を形成する。
【0135】図38及び図39は、本発明の第2実施例
の方法に従い製造された半導体素子の電気的特性を評価
した結果を示すグラフである。具体的には、マスクパタ
ーンの底面エッジ近傍に等方性湿式エッチング方法によ
ってアンダーカット領域を形成した。ここで、エッチン
グ液としては、70℃に維持されるSCI溶液を使用し
た。前記SCI溶液はその総重量を基準に1.7重量%
のNH4OH及び4.1重量%のH22を含む。
【0136】アンダーカット領域を形成するために、S
CI溶液を使って等方性湿式エッチングをそれぞれ3
分、7分及び10分間行い、半導体素子を完成した。こ
うして得られた半導体素子に5Vの電圧を印加し、チャ
ンファが形成された上部エッジを備えた金属シリサイド
層パターンを具備したゲート構造とそれによって自己整
列されるコンタクトプラグとの漏れ電流を測定した。さ
らに、前記ゲート構造を備えたトランジスタの抵抗を測
定した。その結果をそれぞれ図38及び図39に示し
た。
【0137】図38及び図39中、"○"は図16に"6"
にて示されたウェーハ上の位置での測定値を表わし、"
■"は図16に"3"にて示された位置での測定値を表わ
し、"△"は図16に"9"にて示された位置での測定値を
表わし、そして"●"は図16の"1"〜"9"にて示された
位置での測定値の平均値を表わす。
【0138】図38に示されたように、5Vの電圧が印
加されたとき、SCI 3'の場合には平均44.49m
Aの漏れ電流が測定され、SCI 7'の場合には平均4
0.46mAの漏れ電流が測定され、SCI 10'の場
合には平均38.49mAの漏れ電流が測定された。こ
れから、測定された漏れ電流値がいずれも許容範囲内に
あることが確認できた。
【0139】さらに、図39に示されたように、SCI
3'の場合には平均57.50Ω/セルの抵抗が測定さ
れ、SCI 7'の場合には平均63.22Ω/セルの抵
抗が測定され、SCI 10'の場合には平均66.46
Ω/セルの抵抗が測定された。これから、測定された抵
抗値がいずれも許容範囲内にあり、素子動作にはまった
く悪影響しないことが確認できた。
【0140】図40は、本発明及び従来の方法に係る半
導体素子の漏れ電流の分布率を比較して示すグラフであ
る。図40に示された各番号はウェーハ上の様々な測定
位置を表わす。
【0141】漏れ電流の分布率を測定するため、本発明
の第2実施例に従い半導体素子を製造した。具体的に
は、エーシングによるフォトレジストパターンの除去後
に、SCI溶液を使って金属シリサイド層の等方性湿式
エッチングを7分間行い、マスクパターンの底面エッジ
近傍にアンダーカット領域を形成して、上部エッジにチ
ャンファが形成された金属シリサイド層パターンを備え
たゲート構造を形成した。前記SCI溶液はその総重量
を基準に1.7重量%のNH4OH及び4.1重量%の
22を含む。
【0142】本発明の半導体素子と比較するために、金
属シリサイド層パターンにチャンファが形成されないよ
うに。エーシングによるフォトレジストパターンの除去
後に、SCI溶液を使って通常の方法によるストリップ
工程を3分間行った以外は、本発明の第2実施例による
方法と同様にして従来の半導体素子を製造した。
【0143】図40に示されたように、本発明の方法に
より製造された半導体素子は、金属シリサイド層パター
ンの上部エッジにチャンファが形成され、これによりゲ
ートラインの幅が従来の半導体素子に比べはるかに減っ
たにも関わらず、従来の半導体素子のように均一な漏れ
電流の分布を示す。
【0144】すなわち、従来の半導体素子のように均一
な漏れ電流分布を有しながら、チャンファが形成された
上部エッジを備えた金属シリサイド層からなるゲート構
造により前記ゲート構造と自己整列コンタクトとの間で
十分な絶縁距離が確保されるので、従来の半導体素子に
比べ工程マージンが格段に増大された半導体素子が提供
できる利点がある。
【0145】
【発明の効果】以上述べたように、本発明によるポリサ
イド構造から形成されるゲート構造は、上部エッジにチ
ャンファが形成された金属シリサイド層パターンを有す
る。さらに、必要あれば、前記金属シリサイド層の上部
エッジにチャンファを形成すると同時に、その下部エッ
ジがその下部のドーピングされたポリシリコン層パター
ンの側壁にリセスされたプロファイルを持たせることに
より、前記ドーピングされたポリシリコン層パターンの
幅より小さい幅を有するリセスされた金属シリサイド層
パターンを形成することが可能である。
【0146】これにより、素子の電気的特性にまったく
悪影響せずに、前記ゲート構造と前記ゲート構造によっ
て自己整列されるコンタクトプラグとの間で十分な幅を
維持する絶縁スペーサによって必要な絶縁長さが確保で
きる。従って、本発明は、デザインルールが0.25μ
m以下である高集積半導体素子を製造する上で有効であ
る。
【0147】さらに、本発明によると、ビットラインも
前記ゲート構造と同一構造に形成できる。例えば、CO
B構造を有する 半導体メモリ素子のキャパシタを半導
体基板の活性領域に接続させるためのコンタクトプラ
グ、またはビットラインの上部に形成される中間パッド
と接続されるコンタクトプラグをゲート構造及びビット
ラインに同時に自己整列されるように形成できる。
【0148】この場合には、前記ゲート構造より上部に
位置するビットラインの側壁スペーサで比較的に大きい
エッチングストレスを受けることになる。しかし、本発
明によると、ビットラインをチャンファが形成された上
部エッジを有するように形成できるので、前述のように
自己整列コンタクトホールの形成のためのエッチング工
程後にもビットラインの側壁を覆うスペーサは必要な絶
縁長さを確保するのに十分な幅を維持する。従って、素
子の電気的特性にまったく悪影響せずに、ビットライン
またはゲート構造と、前記コンタクトプラグとの間で十
分な絶縁長さを確保することができる。
【0149】前述のような構造のゲート構造またはビッ
トラインを備えた本発明による半導体素子を製造すると
き、そのゲート構造またはそのビットラインと同構造か
ら形成されたヒューズを同時に形成することが可能であ
る。
【0150】さらに、本発明に係る半導体素子の製造方
法においては、上部エッジにチャンファが形成された金
属シリサイド層パターンを形成するために複雑な工程を
追加せず、その代わりに、金属シリサイド層のパターニ
ング時に使用されたフォトレジストパターンの除去時に
必ず行われるフォトレジストパターンのエーシング及び
ストリップ工程と一緒に行われる。
【0151】すなわち、前記チャンファが形成された上
部エッジの形状に対応する輪郭を有するアンダーカット
領域を形成するとき、前記エーシング工程と同時にまた
は前記エーシング工程後に同一のチャンバ内で連続的に
行われる等方性乾式エッチング工程を利用することがで
きる。或いは、前記フォトレジストパターンを前記エー
シング工程によって除去後、単一の洗浄システム内で既
存のストリップ工程と連続的に行われる等方性湿式エッ
チング工程を利用することも可能である。従って、半導
体素子の製造時に必ず行われる工程を効率良く利用する
ことにより、最小限の工数でアンダーカット領域を形成
することができる。
【0152】以上、本発明を好適な実施例に基づき詳細
に説明したが、本発明はこれに限定されるものではな
く、本発明の技術的な思想内で通常の知識を有した者に
とって種々なる変形が可能である。
【図面の簡単な説明】
【図1】本発明の一実施例によって具現されたDRAM
セルの構成の一部を示すレイアウト図である。
【図2】図1のII-II'線断面図である。
【図3】図1のIII-III'線断面図である。
【図4】図1のIII-III'線断面図に対応する図3の変形
実施例である。
【図5】図1のIV-IV'線断面図である。
【図6】図1のIV-IV'線断面図に対応する図5の変形実
施例である。
【図7】図1のV-IV'線断面図に対応する図5の他の変
形実施例である。
【図8】本発明の他の実施例によって具現されたフラッ
シュメモリセルの構成の一部を示す断面図である。
【図9】冗長回路付きチップの概略構成図である。
【図10】本発明の一実施例によるヒューズ付き半導体
素子の断面図である。
【図11】本発明の他の実施例によるヒューズ付き半導
体素子の断面図である。
【図12】エッチング液の温度に応じたタングステンシ
リサイド層の消耗量を示すグラフ図である。
【図13】SCI溶液を用いたタングステンシリサイド
層のエッチング時にエッチング時間による垂直方向のエ
ッチング量と水平方向のエッチング量との比較グラフ図
である。
【図14】SCI溶液を用いたタングステンシリサイド
層のエッチング時に最適のエッチング結果が得られるエ
ッチング時間を決定するためのグラフ図である。
【図15】SCI溶液を用いたタングステンシリサイド
層のエッチング時にタングステンシリサイド層のエッチ
ング量の均一度を評価したグラフ図である。
【図16】ウェーハ上の位置を示す図である。
【図17】本発明の第1実施例による半導体素子の製造
方法を説明するための断面図である。
【図18】本発明の第1実施例による半導体素子の製造
方法を説明するための断面図である。
【図19】本発明の第1実施例による半導体素子の製造
方法を説明するための断面図である。
【図20】本発明の第1実施例による半導体素子の製造
方法を説明するための断面図である。
【図21】本発明の第1実施例による半導体素子の製造
方法を説明するための断面図である。
【図22】本発明の第1実施例による半導体素子の製造
方法を説明するための断面図である。
【図23】本発明の第1実施例による半導体素子の製造
方法を説明するための断面図である。
【図24】本発明の第1実施例による半導体素子の製造
方法を説明するための断面図である。
【図25】本発明の第1実施例による半導体素子の製造
方法を説明するための断面図である。
【図26】本発明の第1実施例による半導体素子の製造
方法を説明するための断面図である。
【図27】本発明の第2実施例による半導体素子の製造
方法を説明するための断面図である。
【図28】本発明の第2実施例による半導体素子の製造
方法を説明するための断面図である。
【図29】本発明の第2実施例による半導体素子の製造
方法を説明するための断面図である。
【図30】本発明の第2実施例による半導体素子の製造
方法を説明するための断面図である。
【図31】本発明の第3実施例による半導体素子の製造
方法を説明するための断面図である。
【図32】本発明の第4実施例による半導体素子の製造
方法を説明するための断面図である。
【図33】本発明の第4実施例による半導体素子の製造
方法を説明するための断面図である。
【図34】本発明の第4実施例による半導体素子の製造
方法を説明するための断面図である。
【図35】本発明の第4実施例による半導体素子の製造
方法を説明するための断面図である。
【図36】本発明の第5実施例による半導体素子の製造
方法を説明するための断面図である。
【図37】本発明の第5実施例による半導体素子の製造
方法を説明するための断面図である。
【図38】本発明の方法によって製造された半導体素子
での電気的特性を評価したグラフ図である。
【図39】本発明の方法によって製造された半導体素子
での電気的特性を評価したグラフ図である。
【図40】本発明によって製造された半導体素子と従来
の半導体素子でそれぞれ測定された漏れ電流の分布率を
評価したグラフ図である。
【符号の説明】
W/L ワードライン 20 ゲート構造 40 ビットライン 60c 自己整列コンタクト
───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.7 識別記号 FI テーマコート゛(参考) H01L 29/788 H01L 29/78 371 29/792 // H01L 27/10 301 (72)発明者 金 佑▲是▼ 大韓民国ソウル特別市江南区道谷洞954− 8番地103号 (72)発明者 南 信佑 大韓民国京畿道水原市勧善区勧善洞1270番 地碧山アパート403棟404号 (72)発明者 尹 汝哲 大韓民国ソウル特別市陽川区木1洞927番 地木洞アパート734棟1207号 (72)発明者 金 凡洙 大韓民国京畿道水原市八達区遠川洞35番地 遠川アパート102棟505号 (72)発明者 朴 鐘浩 大韓民国ソウル特別市江南区開浦4洞656 番地市営アパート18棟205号 (72)発明者 崔 智煥 大韓民国ソウル特別市瑞草区良才洞10−24 番地201号

Claims (41)

    【特許請求の範囲】
  1. 【請求項1】 半導体基板上に形成された第1絶縁膜
    と、 前記第1絶縁膜上に形成された第1導電層パターン、な
    らびに前記第1導電層パターン上に形成され、前記半導
    体基板の主面と実質的に垂直な下部エッジ及びチャンフ
    ァが形成された上部エッジを備えた第2導電層パターン
    を有するゲート構造と、 前記第2導電層パターン上に形成され、第1幅を有し、
    前記第2導電層パターンの上部エッジより突出された側
    壁を有する第2絶縁膜とを含むことを特徴とする半導体
    素子。
  2. 【請求項2】 前記第1導電層パターンは、ドーピング
    されたポリシリコンからなることを特徴とする請求項1
    に記載の半導体素子。
  3. 【請求項3】 前記第2導電層パターンは、金属シリサ
    イドからなることを特徴とする請求項1に記載の半導体
    素子。
  4. 【請求項4】 前記第2絶縁膜は、窒化膜であることを
    特徴とする請求項1に記載の半導体素子。
  5. 【請求項5】 前記第2絶縁膜は、窒化膜及び酸化膜か
    らなることを特徴とする請求項1に記載の半導体素子。
  6. 【請求項6】 前記第2導電層パターンは、前記第1幅
    と実質的に同じ幅を有する底面を備えたことを特徴とす
    る請求項1に記載の半導体素子。
  7. 【請求項7】 前記第2導電層パターンは、その最大幅
    が前記第1幅より小さいことを特徴とする請求項1に記
    載の半導体素子。
  8. 【請求項8】 前記第2導電層パターンは、前記第1幅
    より小さく、且つ前記第1幅の半分より大きい幅を有す
    る上面を備えたことを特徴とする請求項1に記載の半導
    体素子。
  9. 【請求項9】 前記第1導電層パターン及び前記第2導
    電層パターンは不揮発性メモリセルの制御ゲートを構成
    し、 前記ゲート構造は、 前記第1絶縁膜と前記第1導電層パターンとの間に形成
    される浮遊ゲートと、 前記浮遊ゲートと前記制御ゲートとの間に形成される誘
    電体膜とをさらに有することを特徴とする請求項1に記
    載の半導体素子。
  10. 【請求項10】 前記ゲート構造の側壁及び前記第2絶
    縁膜の側壁を覆う第1絶縁スペーサをさらに含むことを
    特徴とする請求項1に記載の半導体素子。
  11. 【請求項11】 前記第1絶縁スペーサは、窒化膜から
    なることを特徴とする請求項10に記載の半導体素子。
  12. 【請求項12】 前記第1絶縁スペーサ及び前記半導体
    基板の活性領域を同時に露出させる自己整列コンタクト
    ホール内に形成され、前記ゲート構造によって自己整列
    されるコンタクトプラグをさらに含むことを特徴とする
    請求項10に記載の半導体素子。
  13. 【請求項13】 前記半導体基板上に形成され、所定の
    機能を有する特定回路部と、 前記半導体基板上に形成され、前記特定回路部と同一の
    機能を有する冗長回路部と、 前記第1絶縁膜上に形成され、前記特定回路部が不良の
    場合に前記特定回路部を前記冗長回路部に代えるため
    に、溶断可能な、前記ゲート構造と同一の構造を有する
    ヒューズとをさらに含むことを特徴とする請求項1に記
    載の半導体素子。
  14. 【請求項14】 前記第2絶縁膜を覆う平坦化された第
    1層間絶縁膜パターンと、 前記第1層間絶縁膜パターン上に形成されたビットライ
    ンと、 前記ビットラインの上面を覆う第3絶縁膜とをさらに含
    み、 前記ビットラインは、チャンファが形成された上部エッ
    ジを備えた導電パターンを有することを特徴とする請求
    項10に記載の半導体素子。
  15. 【請求項15】 前記ビットラインは、ドーピングされ
    たポリシリコン層及び前記ドーピングされたポリシリコ
    ン層上に形成された金属シリサイド層を有し、前記金属
    シリサイド層は、前記チャンファが形成された上部エッ
    ジを備えたことを特徴とする請求項14に記載の半導体
    素子。
  16. 【請求項16】 前記ビットラインの側壁及び前記第3
    絶縁膜の側壁を覆う第2絶縁スペーサをさらに含むこと
    を特徴とする請求項14に記載の半導体素子。
  17. 【請求項17】 前記第2絶縁スペーサは、窒化膜から
    なることを特徴とする請求項16に記載の半導体素子。
  18. 【請求項18】 前記第3絶縁膜を覆う第2層間絶縁膜
    パターンと、前記第2絶縁スペーサ及び前記半導体基板
    の活性領域を同時に露出させる自己整列コンタクトホー
    ル内に形成され、前記ビットラインによって自己整列さ
    れるコンタクトプラグとをさらに含むことを特徴とする
    請求項16に記載の半導体素子。
  19. 【請求項19】 前記第3絶縁膜を覆う第2層間絶縁膜
    と、 前記第1絶縁スペーサ、第2絶縁スペーサ及び前記半導
    体基板の活性領域を同時に露出させる自己整列コンタク
    トホール内に形成され、前記ゲート構造及び前記ビット
    ラインによって自己整列されるコンタクトプラグとをさ
    らに含むことを特徴とする請求項16に記載の半導体素
    子。
  20. 【請求項20】 半導体基板上に形成された層間絶縁膜
    パターンと、 前記層間絶縁膜パターン上に形成され、チャンファが形
    成された上部エッジを備えた導電パターンを有するビッ
    トラインと、 前記ビットライン上に形成され、第1幅を有し、前記ビ
    ットラインの上部エッジより突出された側壁を有する絶
    縁膜とを含むことを特徴とする半導体素子。
  21. 【請求項21】 半導体基板上に第1導電層を形成する
    段階と、 前記第1導電層上に第2導電層を形成する段階と、 前記第2導電層上に前記第2導電層の上面の一部を露出
    させる第1マスクパターンを形成する段階と、 前記第1マスクパターンをエッチングマスクとして前記
    第2導電層の一部を等方性エッチングして、前記第1マ
    スクパターンの底面エッジを露出させる第1アンダーカ
    ット領域を形成する段階と、 前記第1マスクパターンをエッチングマスクとして前記
    第2導電層の残りの一部を異方性エッチングして、前記
    半導体基板の主面と実質的に垂直な下部エッジ及び前記
    第1アンダーカット領域の輪郭に沿ってチャンファが形
    成された上部エッジを備えた第2導電層パターンを形成
    する段階と、 前記第1マスクパターンをエッチングマスクとして前記
    第1導電層を異方性エッチングして、第1導電層パター
    ンを形成する段階とを含むことを特徴とする半導体素子
    の製造方法。
  22. 【請求項22】 前記第1アンダーカット領域を形成す
    る段階は、乾式エッチング方法によって行われることを
    特徴とする請求項21に記載の半導体素子の製造方法。
  23. 【請求項23】 前記第1アンダーカット領域は、C
    4、C26、CHF3、CO、Ar、O2、N2及びHe
    −O2からなる群より選ばれる少なくともいずれか1種
    のガスを使って形成されることを特徴とする請求項21
    に記載の半導体素子の製造方法。
  24. 【請求項24】 前記第1アンダーカット領域は、湿式
    エッチング方法によって形成されることを特徴とする請
    求項21に記載の半導体素子の製造方法。
  25. 【請求項25】 前記第1アンダーカット領域は、NH4
    OH、H22及びH 2Oの混合液を使って形成されるこ
    とを特徴とする請求項24に記載の半導体素子の製造方
    法。
  26. 【請求項26】 前記第1マスクパターンを形成する段
    階は、 前記第2導電層上に絶縁膜を形成する段階と、 前記絶縁膜上にフォトレジストパターンを形成する段階
    と、 前記フォトレジストパターンを用い前記絶縁膜を異方性
    エッチングして、前記第1マスクパターンを形成する段
    階とを含むことを特徴とする請求項21に記載の半導体
    素子の製造方法。
  27. 【請求項27】 前記第1マスクパターンを形成する段
    階後に、 前記フォトレジストパターンをエーシングして除去する
    段階をさらに含み、 前記第1アンダーカット領域の形成段階は、前記フォト
    レジストパターンのエーシング段階と同時に行われるこ
    とを特徴とする請求項26に記載の半導体素子の製造方
    法。
  28. 【請求項28】 前記第1マスクパターンを形成する段
    階後に、 前記フォトレジストパターンをエーシングして除去する
    段階をさらに含み、 前記第1アンダーカット領域の形成段階は、前記フォト
    レジストパターンのエーシング段階の直後に同一のチャ
    ンバ内で連続的に行われることを特徴とする請求項26
    に記載の半導体素子の製造方法。
  29. 【請求項29】 前記第1マスクパターンを形成する段
    階後に、 前記フォトレジストパターンをエーシングして除去する
    段階と、 前記エーシング段階後の残留物をストリップして除去す
    る段階とをさらに含み、 前記第1アンダーカット領域の形成段階は、前記ストリ
    ップ段階の直後に同一の洗浄システム内で連続的に行わ
    れることを特徴とする請求項26に記載の半導体素子の
    製造方法。
  30. 【請求項30】 少なくとも前記第1導電層パターンの
    側壁、第2導電層パターンの側壁及び第1マスクパター
    ンの側壁を覆う絶縁膜を形成する段階と、 前記絶縁膜上に平坦化された第1層間絶縁膜を形成する
    段階と、 前記第1層間絶縁膜を選択的にエッチングして前記半導
    体基板の活性領域を露出させる自己整列コンタクトホー
    ルを形成する段階とをさらに含むことを特徴とする請求
    項21に記載の半導体素子の製造方法。
  31. 【請求項31】 前記絶縁膜を形成する段階は、 前記第1導電層パターンが形成された結果物を完全に覆
    う窒化膜を形成する段階と、 前記窒化膜をエッチバックして前記第1導電層パターン
    の側壁、第2導電層パターンの側壁及び第1マスクパタ
    ーンの側壁を覆う窒化膜スペーサを形成する段階とを含
    み、 前記自己整列コンタクトホールを形成する段階は、 前記第1層間絶縁膜を選択的にエッチングして前記窒化
    膜スペーサを露出させる第1層間絶縁膜パターンを形成
    する段階を含むことを特徴とする請求項30に記載の半
    導体素子の製造方法。
  32. 【請求項32】 前記絶縁膜を形成する段階は、 前記第1導電層パターンが形成された結果物を完全に覆
    う窒化膜を形成する段階を含み、 前記自己整列コンタクトホールを形成する段階は、 前記第1層間絶縁膜を選択的にエッチングして前記窒化
    膜の一部を露出させる第1層間絶縁膜パターンを形成す
    る段階と、 前記露出された窒化膜をエッチングして前記第1導電層
    パターンの側壁、第2導電層パターンの側壁及び第1マ
    スクパターンの側壁を覆うと同時に前記自己整列コンタ
    クトホールの幅を限定する窒化膜スペーサを形成する段
    階とを含むことを特徴とする請求項30に記載の半導体
    素子の製造方法。
  33. 【請求項33】 前記第1導電層パターンを形成する段
    階後に、 前記第2導電層パターンをその露出された表面から所定
    幅だけ除去してその最大幅が前記第1マスクパターンの
    幅及び前記第1導電層パターンの幅より小さいリセスさ
    れた第2導電層パターンを形成する段階をさらに含むこ
    とを特徴とする請求項21に記載の半導体素子の製造方
    法。
  34. 【請求項34】 少なくとも前記第1導電層パターンの
    側壁、リセスされた第2導電層パターンの側壁及び第1
    マスクパターンの側壁を覆う絶縁膜を形成する段階と、 前記絶縁膜上に平坦化された第1層間絶縁膜を形成する
    段階と、 前記第1層間絶縁膜を選択的にエッチングして前記半導
    体基板の活性領域を露出させる自己整列コンタクトホー
    ルを形成する段階とをさらに含むことを特徴とする請求
    項33に記載の半導体素子の製造方法。
  35. 【請求項35】 前記絶縁膜を形成する段階は、 前記リセスされた第2導電層パターンが形成された結果
    物を完全に覆う窒化膜を形成する段階と、 前記窒化膜をエッチバックして前記第1導電層パターン
    の側壁、リセスされた第2導電層パターンの側壁及び第
    1マスクパターンの側壁を覆う窒化膜スペーサを形成す
    る段階とを含み、 前記自己整列コンタクトホールを形成する段階は、 前記第1層間絶縁膜を選択的にエッチングして前記窒化
    膜スペーサを露出させる第1層間絶縁膜パターンを形成
    する段階を含むことを特徴とする請求項34に記載の半
    導体素子の製造方法。
  36. 【請求項36】 前記絶縁膜を形成する段階は、 前記リセスされた第2導電層パターンが形成された結果
    物を完全に覆う窒化膜を形成する段階を含み、 前記自己整列コンタクトホールを形成する段階は、 前記第1層間絶縁膜を選択的にエッチングして前記窒化
    膜の一部を露出させる第1層間絶縁膜パターンを形成す
    る段階と、 前記露出された窒化膜をエッチングして前記第1導電層
    パターンの側壁、リセスされた第2導電層パターンの側
    壁及び第1マスクパターンの側壁を覆うと同時に前記自
    分整列コンタクトホールの幅を限定する窒化膜スペーサ
    を形成する段階とを含むことを特徴とする請求項34に
    記載の半導体素子の製造方法。
  37. 【請求項37】 前記第1マスクパターンを覆う平坦化
    された第1層間絶縁膜を形成する段階と、 前記第1層間絶縁膜上にビットラインを形成する段階と
    をさらに含み、 前記ビットラインを形成する段階は、 前記第1層間絶縁膜上に第3導電層を形成する段階と、 前記第3導電層上に前記第3導電層の上面の一部を露出
    させる第2マスクパターンを形成する段階と、 前記第2マスクパターンをエッチングマスクとして前記
    第3導電層の一部を等方性エッチングして前記第2マス
    クパターンの底面エッジを露出させる第2アンダーカッ
    ト領域を形成する段階と、 前記第2マスクパターンをエッチングマスクとして前記
    第3導電層の残りの一部を異方性エッチングして、前記
    半導体基板の主面と実質的に垂直な下部エッジ及び前記
    第2アンダーカット領域の輪郭に沿ってチャンファが形
    成された上部エッジを備えた第3導電層パターンを形成
    する段階とを含むことを特徴とする請求項21に記載の
    半導体素子の製造方法。
  38. 【請求項38】 前記第3導電層パターンをその露出さ
    れた表面から所定幅だけ湿式エッチングしてその最大幅
    が前記第2マスクパターンの幅より小さいリセスされた
    第3導電層パターンを形成する段階をさらに含むことを
    特徴とする請求項37に記載の半導体素子の製造方法。
  39. 【請求項39】 前記第1導電層パターンの側壁、第2
    導電層パターンの側壁及び第1マスクパターンの側壁を
    覆う第1絶縁スペーサを形成する段階と、 前記第3導電層パターンの側壁及び第2マスクパターン
    の側壁を覆う第2絶縁スペーサを形成する段階とをさら
    に含むことを特徴とする請求項37に記載の半導体素子
    の製造方法。
  40. 【請求項40】 前記第1絶縁スペーサ及び第2絶縁ス
    ペーサはそれぞれ、窒化膜からなることを特徴とする請
    求項39に記載の半導体素子の製造方法。
  41. 【請求項41】 前記第2マスクパターンを覆う第2層
    間絶縁膜を形成する段階と、 前記第2層間絶縁膜及び第1層間絶縁膜を選択的にエッ
    チングして前記第1絶縁スペーサ、第2絶縁スペーサ及
    び前記半導体基板の活性領域を同時に露出させる自己整
    列コンタクトホールを形成する段階と、 前記自己整列コンタクトホール内に導電物質を充填して
    前記第1導電層パターン、第2導電層パターン及びビッ
    トラインによって自己整列されるコンタクトプラグを形
    成する段階とをさらに含むことを特徴とする請求項39
    に記載の半導体素子の製造方法。
JP2000088814A 1999-03-29 2000-03-28 半導体素子及びその製造方法 Ceased JP2000294645A (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
KR19990010797 1999-03-29
KR1999P29731 1999-07-22
KR1999P10797 1999-07-22
KR1019990029731A KR100295061B1 (ko) 1999-03-29 1999-07-22 챔퍼가 형성된 실리사이드층을 갖춘 반도체소자 및 그 제조방법

Publications (1)

Publication Number Publication Date
JP2000294645A true JP2000294645A (ja) 2000-10-20

Family

ID=26634883

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000088814A Ceased JP2000294645A (ja) 1999-03-29 2000-03-28 半導体素子及びその製造方法

Country Status (4)

Country Link
US (2) US6437411B1 (ja)
JP (1) JP2000294645A (ja)
KR (1) KR100295061B1 (ja)
TW (1) TW457566B (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001148356A (ja) * 1999-10-07 2001-05-29 Samsung Electronics Co Ltd チャンファが形成された金属シリサイド層を備えた半導体素子の製造方法
JP2002151587A (ja) * 2000-11-14 2002-05-24 Nec Corp 半導体装置の製造方法
JP2008103729A (ja) * 2006-10-19 2008-05-01 Samsung Electronics Co Ltd 半導体素子及びその形成方法
JP2016032117A (ja) * 2014-07-29 2016-03-07 ラム リサーチ コーポレーションLam Research Corporation タングステン含有層をエッチングする方法

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100363091B1 (ko) * 2000-06-27 2002-11-30 삼성전자 주식회사 자기정합 콘택을 갖는 반도체 메모리소자 및 그 제조방법
KR100383325B1 (ko) * 2001-01-19 2003-05-12 삼성전자주식회사 셀프-얼라인 콘택을 형성하기 위한 반도체 장치의 배선 및그 형성방법
KR100439027B1 (ko) * 2001-02-13 2004-07-03 삼성전자주식회사 셀프 얼라인 콘택형성방법
KR100454135B1 (ko) * 2002-10-10 2004-10-26 삼성전자주식회사 비휘발성 기억소자의 형성방법
KR100486300B1 (ko) * 2003-01-14 2005-04-29 삼성전자주식회사 다마신 기법으로 비트라인을 형성하는 반도체 소자의 제조방법
JP2004247417A (ja) * 2003-02-12 2004-09-02 Renesas Technology Corp 半導体装置の製造方法
TWI259552B (en) * 2003-06-30 2006-08-01 Macronix Int Co Ltd Self-aligned process for flash memory
US7049245B2 (en) * 2003-09-12 2006-05-23 Promos Technologies, Inc. Two-step GC etch for GC profile and process window improvement
US7005744B2 (en) * 2003-09-22 2006-02-28 International Business Machines Corporation Conductor line stack having a top portion of a second layer that is smaller than the bottom portion
US6924199B2 (en) * 2003-11-21 2005-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method to form flash memory with very narrow polysilicon spacing
DE102004019588A1 (de) * 2004-04-22 2005-11-17 Osram Opto Semiconductors Gmbh Verfahren zur Strukturierung von zumindest einer Schicht sowie elektrisches Bauelement mit Strukturen aus der Schicht
KR100629269B1 (ko) * 2004-11-05 2006-09-29 삼성전자주식회사 라인 패턴의 측부에 트랜치를 갖는 반도체 장치들 및 그형성방법들
KR100744682B1 (ko) * 2005-06-30 2007-08-01 주식회사 하이닉스반도체 반도체소자의 제조방법
KR100849852B1 (ko) * 2005-08-09 2008-08-01 삼성전자주식회사 비휘발성 반도체 집적 회로 장치 및 이의 제조 방법
JP2009218520A (ja) 2008-03-12 2009-09-24 Fujitsu Microelectronics Ltd 半導体装置とその製造方法
US7928577B2 (en) * 2008-07-16 2011-04-19 Micron Technology, Inc. Interconnect structures for integration of multi-layered integrated circuit devices and methods for forming the same
KR101045089B1 (ko) * 2008-08-22 2011-06-29 주식회사 하이닉스반도체 반도체 장치 및 그 제조방법
KR101037476B1 (ko) * 2008-12-11 2011-05-26 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법
KR101096187B1 (ko) * 2009-11-30 2011-12-22 주식회사 하이닉스반도체 반도체 장치 제조 방법
DE102010042229B4 (de) * 2010-10-08 2012-10-25 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zum Steigern der Integrität eines Gatestapels mit großem ε durch Erzeugen einer gesteuerten Unterhöhlung auf der Grundlage einer Nasschemie und mit den Verfahren hergestellter Transistor
US9059095B2 (en) * 2013-04-22 2015-06-16 International Business Machines Corporation Self-aligned borderless contacts using a photo-patternable dielectric material as a replacement contact
US9166016B1 (en) * 2014-05-07 2015-10-20 Macronix International Co., Ltd. Semiconductor device and method for fabricating the same
TWI658591B (zh) * 2015-03-13 2019-05-01 聯華電子股份有限公司 半導體元件及其製作方法
KR102271239B1 (ko) 2015-03-23 2021-06-29 삼성전자주식회사 반도체 장치 및 이의 제조 방법
KR20160148795A (ko) * 2015-06-16 2016-12-27 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US10510851B2 (en) * 2016-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Low resistance contact method and structure
US11462282B2 (en) 2020-04-01 2022-10-04 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor memory structure

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6465851A (en) * 1987-09-07 1989-03-13 Toshiba Corp Manufacture of semiconductor device
JPH02211633A (ja) * 1989-02-13 1990-08-22 Toshiba Corp 半導体装置及びその製造方法
JPH0555210A (ja) * 1991-08-26 1993-03-05 Mitsubishi Electric Corp 半導体装置
JPH0982920A (ja) * 1995-09-19 1997-03-28 Samsung Electron Co Ltd 高集積dramセルの製造方法
JPH0997902A (ja) * 1995-10-02 1997-04-08 Mitsubishi Electric Corp 半導体装置及び半導体装置の製造方法
JPH1041508A (ja) * 1996-07-17 1998-02-13 Sony Corp 半導体装置およびその製造方法
JPH1056150A (ja) * 1996-08-09 1998-02-24 Sony Corp 半導体記憶装置及びその製造方法

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4319395A (en) 1979-06-28 1982-03-16 Motorola, Inc. Method of making self-aligned device
US4285761A (en) 1980-06-30 1981-08-25 International Business Machines Corporation Process for selectively forming refractory metal silicide layers on semiconductor devices
JPS61258453A (ja) 1985-05-13 1986-11-15 Toshiba Corp 半導体装置の製造方法
US5541131A (en) 1991-02-01 1996-07-30 Taiwan Semiconductor Manufacturing Co. Peeling free metal silicide films using ion implantation
JPH0621018A (ja) 1992-06-29 1994-01-28 Sony Corp ドライエッチング方法
US5262352A (en) 1992-08-31 1993-11-16 Motorola, Inc. Method for forming an interconnection structure for conductive layers
KR950011983B1 (ko) 1992-11-23 1995-10-13 삼성전자주식회사 반도체 장치의 제조방법
KR960012259B1 (ko) 1993-03-13 1996-09-18 삼성전자 주식회사 반도체 장치의 제조방법
US5436407A (en) 1994-06-13 1995-07-25 Integrated Packaging Assembly Corporation Metal semiconductor package with an external plastic seal
KR100190834B1 (ko) * 1994-12-08 1999-06-01 다니구찌 이찌로오, 기타오카 다카시 반도체장치및그제조방법
EP0749158B1 (en) 1995-06-16 2001-10-31 Co.Ri.M.Me. Consorzio Per La Ricerca Sulla Microelettronica Nel Mezzogiorno Method of manufacturing a semiconductor device with auto-aligned polycide gate
KR100190105B1 (ko) 1996-10-24 1999-07-01 윤종용 게이트전극의 제조방법 및 그에 따라 제조된 게이트구조
US5814537A (en) 1996-12-18 1998-09-29 Sharp Microelectronics Technology,Inc. Method of forming transistor electrodes from directionally deposited silicide
US6262458B1 (en) * 1997-02-19 2001-07-17 Micron Technology, Inc. Low resistivity titanium silicide structures
US5856239A (en) 1997-05-02 1999-01-05 National Semiconductor Corporaton Tungsten silicide/ tungsten polycide anisotropic dry etch process
US5933757A (en) 1997-06-23 1999-08-03 Lsi Logic Corporation Etch process selective to cobalt silicide for formation of integrated circuit structures
US6274900B1 (en) * 1998-01-05 2001-08-14 Texas Instruments Incorporated Semiconductor device architectures including UV transmissive nitride layers
US5994192A (en) 1998-05-29 1999-11-30 Vanguard International Semiconductor Corporation Compensation of the channel region critical dimension, after polycide gate, lightly doped source and drain oxidation procedure
US6235621B1 (en) 1999-11-22 2001-05-22 Vanguard International Semiconductor Corporation Method for forming a semiconductor device

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6465851A (en) * 1987-09-07 1989-03-13 Toshiba Corp Manufacture of semiconductor device
JPH02211633A (ja) * 1989-02-13 1990-08-22 Toshiba Corp 半導体装置及びその製造方法
JPH0555210A (ja) * 1991-08-26 1993-03-05 Mitsubishi Electric Corp 半導体装置
JPH0982920A (ja) * 1995-09-19 1997-03-28 Samsung Electron Co Ltd 高集積dramセルの製造方法
JPH0997902A (ja) * 1995-10-02 1997-04-08 Mitsubishi Electric Corp 半導体装置及び半導体装置の製造方法
JPH1041508A (ja) * 1996-07-17 1998-02-13 Sony Corp 半導体装置およびその製造方法
JPH1056150A (ja) * 1996-08-09 1998-02-24 Sony Corp 半導体記憶装置及びその製造方法

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001148356A (ja) * 1999-10-07 2001-05-29 Samsung Electronics Co Ltd チャンファが形成された金属シリサイド層を備えた半導体素子の製造方法
JP2002151587A (ja) * 2000-11-14 2002-05-24 Nec Corp 半導体装置の製造方法
JP2008103729A (ja) * 2006-10-19 2008-05-01 Samsung Electronics Co Ltd 半導体素子及びその形成方法
JP2016032117A (ja) * 2014-07-29 2016-03-07 ラム リサーチ コーポレーションLam Research Corporation タングステン含有層をエッチングする方法

Also Published As

Publication number Publication date
US6740550B2 (en) 2004-05-25
US6437411B1 (en) 2002-08-20
TW457566B (en) 2001-10-01
US20020175381A1 (en) 2002-11-28
KR20000062115A (ko) 2000-10-25
KR100295061B1 (ko) 2001-07-12

Similar Documents

Publication Publication Date Title
JP2000294645A (ja) 半導体素子及びその製造方法
US6716679B2 (en) Methods of forming fuse box guard rings for integrated circuit devices
US20060264032A1 (en) Formation of self-aligned contact plugs
US20010005604A1 (en) Fuse area structure including protection film on sidewall of fuse opening in semiconductor device and method of forming the same
KR100545865B1 (ko) 반도체 장치 및 그 제조 방법
US7928494B2 (en) Semiconductor device
KR20050063851A (ko) 반도체소자 제조 방법
US20060128157A1 (en) Semiconductor structure with partially etched gate and method of fabricating the same
KR100616499B1 (ko) 반도체소자 제조 방법
KR20040013613A (ko) 반도체 장치의 제조에서 콘택 형성 방법
US20040014323A1 (en) Method of fabricating semiconductor device
US20020098686A1 (en) Semiconductor device and fabrication process therefor
JP3172229B2 (ja) 半導体装置の製造方法
US6716715B2 (en) Dram bit lines
KR100807596B1 (ko) 반도체 소자 제조방법
KR100382542B1 (ko) 반도체 소자의 제조방법
JP2000294648A (ja) 半導体装置およびその製造方法
KR100586539B1 (ko) 테스트 패턴 형성방법
KR20050072167A (ko) 퓨즈 보호장치 및 퓨즈의 제조방법
KR100284075B1 (ko) 반도체 소자의 퓨즈박스 형성방법
KR100942981B1 (ko) 반도체소자 제조 방법
KR20060029007A (ko) 반도체 소자 제조 방법
KR20080002515A (ko) 리페어식각과 패드식각을 동시에 진행하는 반도체 소자의제조방법
KR20050063410A (ko) 반도체소자 제조방법
KR20050002416A (ko) 플래쉬 메모리 소자의 제조방법

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20040831

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080604

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080904

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20080926

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20081223

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20090128

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20090220

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110105

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110111

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110822

A045 Written measure of dismissal of application [lapsed due to lack of payment]

Free format text: JAPANESE INTERMEDIATE CODE: A045

Effective date: 20120120