JP2000294620A - 半導体処理装置 - Google Patents

半導体処理装置

Info

Publication number
JP2000294620A
JP2000294620A JP11103137A JP10313799A JP2000294620A JP 2000294620 A JP2000294620 A JP 2000294620A JP 11103137 A JP11103137 A JP 11103137A JP 10313799 A JP10313799 A JP 10313799A JP 2000294620 A JP2000294620 A JP 2000294620A
Authority
JP
Japan
Prior art keywords
pin
susceptor
substrate
semiconductor
support member
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP11103137A
Other languages
English (en)
Other versions
JP3398936B2 (ja
Inventor
Kiyoshi Sato
清志 佐藤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NIPPON ASM KK
Original Assignee
NIPPON ASM KK
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NIPPON ASM KK filed Critical NIPPON ASM KK
Priority to JP10313799A priority Critical patent/JP3398936B2/ja
Priority to US09/544,764 priority patent/US6435798B1/en
Publication of JP2000294620A publication Critical patent/JP2000294620A/ja
Application granted granted Critical
Publication of JP3398936B2 publication Critical patent/JP3398936B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/141Associated with semiconductor wafer handling includes means for gripping wafer

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

(57)【要約】 【課題】ピンの高さ調整が容易でかつ消耗品の交換が容
易であり,大口径の半導体基板を安定して支持できる基
板支持機構を与える。 【解決手段】本発明に係る半導体基板を処理するための
半導体処置装置は,真空排気されたチャンバと,前記チ
ャンバ内にあって前記半導体基板を保持し少なくとも3
つの貫通孔を有するサセプタと,前記貫通孔内で担持さ
れ前記半導体基板を支持するための基板支持部材と,一
端が前記基板支持部材内部に挿入されるピンと,前記チ
ャンバ底部にあって前記ピンの他端を固定するためのピ
ン固定組立体とから成り,前記サセプタを下方に移動す
ることによって,前記ピンが前記基板支持部材を押し上
げ,前記半導体基板は少なくとも3つの前記半導体支持
部材によってサセプタから離れて空中で支持されること
を特徴とする。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は,半導体基板を処理
するためのプラズマCVD装置に関し,特に半導体基板搬
送時にサセプタ上に載置された半導体基板を持ち上げて
空中で支持するための半導体基板支持機構に関する。
【0002】
【従来の技術】従来の基板処理装置は,プラズマ反応炉
と,複数のウエハ基板をカセットボートに積載し待機す
るロードロック室と,基板搬送機構を有し両者を連結す
る基板搬送室と,から成る。
【0003】半導体基板の上記反応炉内への搬入及び搬
出は,上記基板搬送機構のアームの先端に取り付けられ
たブレードが,ロードロック室と反応炉との間を往復す
ることによって実行される。大気中の水分若しくはパー
ティクル汚染を防止するために,各部屋はゲート弁によ
って隔離され,常時真空排気されており,半導体基板の
搬送時のみ上記各部屋の各ゲート弁が開くようになって
いる。
【0004】反応炉内のサセプタ上に載置された半導体
基板をブレードによって持ち上げるためには,半導体ウ
エハとサセプタとの間にブレードを挿入するための空間
が必要である。そこで,従来の装置はサセプタに複数の
貫通孔を設け,該貫通孔内にピンを挿入し,サセプタの
上下移動に伴って,ピンの先端がサセプタ表面から出た
り入ったりする構造を有する。当該ピンは,搬送時には
サセプタ上に突出して半導体ウエハ基板を空中で支持
し,処理時にはサセプタ内に完全に収容される。
【0005】この従来の半導体基板をピンで支持する方
式には2つのタイプがある。
【0006】まず一つは,ピンの一端を反応炉の底部で
固定するタイプである。もう一つは,ピンがサセプタの
貫通孔内で担持され宙づり状態になるタイプである。後
者のタイプは主に回転可能型サセプタ装置とともに使用
される。サセプタの下降移動に従い反応炉底部に設けら
れたパッドにピンの一端が触れピンは該パッド上に直立
し貫通孔を通じて半導体基板を支持する。
【0007】
【発明が解決しようとする課題】上記したピンで半導体
基板を支持する従来の2つの方法には以下のような欠点
がある。 まず,ピンの一端を反応炉底部に固定する下
端固定タイプでは,ピン先端部の高さ方向の位置が,以
下の理由により厳密に決められなければならない。搬送
時のサセプタ表面からのピンの高さはブレードを受け入
れるのに十分でなければならない。また,反応処理時に
はピンの先端が完全に貫通孔内に収容されていなけれ
ば,半導体基板は宙に浮いた状態となりサセプタと接触
しない。さらに,反応炉のプラズマクリーニングを行う
際に,ピンがサセプタ表面から突出していると放電のエ
ネルギーが当該ピンに集中してアークが発生する恐れが
ある。このアークは当該ピンの破損のみならず,シャワ
ーヘッド表面にも重大な損傷をもたらす。さらに,貫通
孔のリップ部もピンが大きく下がった状態では同様にプ
ラズマ放電が集中し,その部位に対向するシャワーヘッ
ド表面の保護膜若しくはコーティング膜に損傷を与え
る。こうして剥離したそれらの膜は反応炉内部を飛散し
て不純物汚染を引き起こす危険性がある。さらにまた,
同様に当該ピンが貫通孔内でサセプタ表面から大きく下
がった状態ではプラズマ放電による活性種がサセプタ装
置内部に侵入して,サセプタ及びその下方に設けられた
加熱ヒータ,ピン及びその固定部に損傷をもたらす。
【0008】このように,従来の下端固定タイプの装置
では,ピン先端部の高さ方向の位置は厳密に決定されな
ければならず,そのための作業時間は長くなる。
【0009】また,従来はピンをアルミニウム合金で製
作していたため,熱膨張により長さが変化してしまう。
実際の使用温度(200〜300℃)での高さ調節は非常に危
険かつ困難である。さらに,300〜400℃の温度において
ピンの機械的強度は低下し大口径(300mm)のウエハを
支持するには不十分である。そのためウエハ支持の連続
工程においてピンは変形してしまう。それに伴い消耗部
品の交換に要する装置停止時間も長くなる。その結果,
歩留まり及び生産性が低下することになる。
【0010】一方,ピンがサセプタの貫通孔内で担持さ
れる宙づりタイプでは,重量の大きな300mmウエハを支
持するような場合,厚みのあるブレードを受け入れるた
めにピンがサセプタ表面から突起する距離が長くなり支
持が不安定になる。
【0011】また当該ピンは,プラズマ処理され帯電し
た半導体ウエハの裏面に静電吸着してしまい,搬送時に
ウエハと一緒に持ち上げられる危険性がある。この場
合,ピンは搬送ロボットの衝撃により落下し,その結果
破損してパーティクル汚染を引き起こす。
【0012】したがって,本発明の目的は,ピンの高さ
調整が容易な基板支持機構を与えることである。
【0013】また,本発明の他の目的は,ピン等の消耗
品の交換が容易な基板支持機構を与えることである。
【0014】さらに,本発明の他の目的は,大口径の半
導体基板を安定して支持できる基板支持機構を与えるこ
とである。
【0015】さらにまた,本発明の他の目的は,ピンの
先端部が半導体ウエハの裏面と静電吸着を起こさないよ
うな基板支持機構を与えることである。
【0016】
【課題を解決するための手段】上記目的を達成するため
に本願の発明は以下の手段から成る。
【0017】本発明に係る半導体基板を処理するための
半導体処理装置は,真空排気されたチャンバと,前記チ
ャンバ内にあって,前記半導体基板を保持し,少なくと
も3つの貫通孔を有するサセプタと,前記半導体基板の
処理時若しくは前記チャンバのクリーニング時に前記貫
通孔内で担持され,前記半導体基板の搬入若しくは搬出
時に前記半導体基板を支持する基板支持部材と,一端が
前記基板支持部材内部に挿入されるピンと,前記チャン
バ底部にあって,前記ピンの他端を固定するためのピン
固定組立体と,から成り,前記サセプタを下方に移動す
ることによって,前記ピンが前記基板支持部材を押し上
げ,前記半導体基板は少なくとも3つの前記半導体支持
部材によってサセプタから離れて空中で支持される,こ
とを特徴とする。
【0018】具体的には,前記基板支持部材は,鍔形の
頭部及び円筒形のボディを有し,前記ボディの一端は開
放され前記ピンの一端を受容し,前記ボディの他端は閉
止され前記ピンの一端と当接する。
【0019】さらに具体的には,前記頭部は円錐形の上
部及び逆円錐台形の下部から成る。
【0020】好適には,サセプタの前記貫通孔の上部開
口円周部は,前記基板支持部材の前記下部の曲面と同じ
角度で面取りされており,前記開口円周部と前記下部の
曲面が隙間なく係合し前記基板支持部材が前記開口円周
部によって担持された状態で,基板支持部材の先端部が
サセプタ表面より上に突出しない。
【0021】変形的に,前記ピンの一端は円錐形を有
し,前記基板支持部材の当接面は前記ピンの一端と同じ
円錐形の凹部を形成する。
【0022】また具体的には,前記ピン固定組立体は,
固定ベース部材と,ピンホルダと,から成る。
【0023】さらに具体的には,前記固定ベース部材
は,中央の円筒部材と,前記円筒部材の上端周縁部から
放射状に等間隔に伸長する少なくとも3つのブレード
と,から成り,前記ブレードの各端部付近には前記ピン
ホルダを受容するための螺刻された孔が形成されてい
る。
【0024】さらにまた具体的には,前記ピンホルダは
前記ピンを受容するための細孔部と,前記ブレードの前
記孔と螺合するよう螺刻されたネジ部と,から成る。
【0025】本発明に係る装置は,さらに前記サセプタ
を機械的に上下に移動するための移動機構を有すること
ができる。
【0026】好適には,前記移動機構と前記チャンバと
はベローズ手段によって隔絶されている。
【0027】具体的には基板支持部材,ピン,及びピン
固定組立体は,耐熱性に優れた熱膨張係数の小さい材料
により構成される。
【0028】また好適には,前記材料はセラミックであ
る。
【0029】さらに好適には,前記材料は酸化アルミニ
ウム若しくは窒化アルミニウムである。
【0030】
【作用】図1〜3は本発明に係る半導体基板支持機構の
作用であって,特に半導体ウエハの搬出工程を図示した
ものである。図1はプラズマ処理反応時の状態を示す。
その際の基板支持機構を拡大したのが図2(a)である。
【0031】図2(a)は,サセプタ2が最上点に位置した
状態を示している。基板支持部材12はサセプタ2の貫通
孔13内に挿入され,後に詳細に説明されるように基板支
持部材12の下部曲面26が貫通孔13の面取りされたリップ
部21と係合しそれによって基板支持部材12はその先端部
22がサセプタ表面の高さより低くなるように担持され
る。基板支持部材12の内部には開口端24を有する空洞23
が設けられ,該開口端24からピン11が挿入される。図2
(a)の状態において,ピン11の先端部19は空洞23の当接
面18から離れている。したがって,プラズマ処理中に半
導体ウエハがサセプタ2表面から上方へ押し上げられる
ことはなく,プラズマクリーニングの際にも放電が集中
することはない。また面倒なピンの高さ調整も不要であ
る。
【0032】次にサセプタ2は図2(b)の位置まで下方に
移動する。このときピン11の先端19は基板保持部材12の
空洞23内の当接面18に当接する。この状態ではまだ基板
保持部材12は貫通孔13のリップ部21によって担持されて
いる。
【0033】最後にサセプタ2は図2(c)に示される最下
点まで移動する。このとき基板保持部材12とリップ部21
の係合が解かれ,基板保持部材12はピン11によってリフ
トされる。基板支持部材12の先端部22はサセプタ2の表
面から上方へ所定の距離(10〜20mm)だけ突出し,図3
に示されるように半導体ウエハ3を空中で支持する。こ
うして形成されたサセプタ2と半導体基板3との間の空間
に自動搬送機構ロボット(図示せず)のブレード(厚さ
約5mm)が挿入され,半導体ウエハ3が該ブレードによっ
て持ち上げられる。その後半導体ウエハ3は反応室から
ロードロック室へ搬出されウエハカセットへ装填され
る。
【0034】半導体基板の搬入工程は,上記搬出工程の
逆である。自動搬送機構ロボットは,ロードロック室か
ら反応室内に新しい未処理ウエハをブレードに乗せて搬
入し,突出した基板支持部材12の上まで運び,そこでブ
レードをゆっくり降下させる。基板支持部材12の上に支
持された当該未処理ウエハは,サセプタがゆっくり上昇
し最上点に達したとき(図2(a)),サセプタ2上に載置
される。
【0035】
【発明の実施の形態】以下,図面を参照しながら本発明
を説明する。
【0036】図1及び図4を参照して,本発明に係る半
導体基板を処理するための半導体処理装置は,真空排気
されたチャンバ1と,該チャンバ内にあって半導体基板3
を保持し少なくとも3つの貫通孔13を有するサセプタ2
と,半導体基板の処理時若しくはチャンバのクリーニン
グ時に貫通孔内で担持され半導体基板の搬入若しくは搬
出時に半導体基板を支持する基板支持部材12と,一端が
上記基板支持部材12内部に挿入されるピン11と,上記チ
ャンバ1の底部にあって上記ピン11の他端を固定するた
めのピン固定組立体(9,10)と,から成り,上記サセプタ
2を下方に移動することによって,上記ピン11が基板支
持部材12を押し上げ,半導体基板3は少なくとも3つの
前記半導体支持部材12によってサセプタ2から離れて空
中で支持されることを特徴とする。
【0037】処理される半導体基板3を直接保持するサ
セプタ2は,中心からある半径距離の円周上に等間隔に
配置された少なくとも3つの貫通孔13を有する。該貫通
孔13のリップ部21は後に詳細に説明されるように面取り
されている。該サセプタは直径約200〜400mm,厚さ10〜
80mmの窒化アルミニウムセラミックから成り,その内部
には高周波電極としてモリブデン若しくはタングステン
網及び発熱体として金属シースヒータ線,タングステン
発熱体またはSiC発熱体が埋設されている。
【0038】基板支持部材12は後に詳細に説明するよう
に,半導体ウエハ3をリフトするための鍔形の頭部及び
円筒形のボディから成り,サセプタの貫通孔13内に挿入
され,貫通孔13のリップ部21によって担持されている。
該基板支持部材12のボディ内部は空洞23を有し,下端24
は開口されている。基板支持部材12は熱膨張係数の比較
的小さい酸化アルミニウム若しくは窒化アルミニウムな
どのセラミック材で製作され,好適にはフッ素活性種へ
の耐久性が高いアルミナセラミックで製作される。
【0039】上記基板支持部材12をリフトするためのピ
ン11の一端は上記下端24の開口部からボディ内部に挿入
され,他端は反応チャンバ底部に固定されたピン固定組
立体(9,10)に固定されている。
【0040】反応チャンバ内の底部に固定されたピン固
定組立体(9,10)は後に詳細に説明するように,ピンホル
ダ10及び固定ベース部材9から成る。ピン11,ピンホル
ダ10及び固定ベース部材9は,熱膨張係数の比較的小さ
い酸化アルミニウム(アルミナ)若しくは窒化アルミニ
ウム等のセラミック材で製作され,好適にはプラズマ耐
性の高いアルミナセラミックで製作される。それによっ
て,室温から600℃までの広範な温度範囲でのピン11の
長さの変化は無視できる程度となり,同様にサセプタ2
の貫通孔13の位置と固定ベース部材9の孔32の位置(す
なわち,ピン11の位置)のずれは無視できる程度とな
る。
【0041】本発明に係る半導体処理装置は3つの貫通
孔13及びそれぞれに対応する基板支持機構(12,11,10,9)
を有するが,処理する半導体の口径によって,さらに多
くの貫通孔及び基板支持機構を設けることが可能であ
る。
【0042】本発明に係る半導体処理装置はさらに,サ
セプタ2を機械的に上下移動するための移動機構(14,15,
16)を含む。該移動機構はサセプタを支持する円柱状の
ステム14,電動式駆動装置16及び水平の上端部が該ステ
ム14の下端に接続され他端が電動駆動装置16に結合され
ているT字形の動力伝達部材15から成る。また該動力伝
達部材15と反応チャンバ1との間にはパーティクル汚染
を防止するべく金属製ベローズ手段17が設けられ,電動
式駆動装置16及び動力伝達部材15から反応チャンバ1を
隔絶する。
【0043】さらに本発明に係る半導体処理装置は,半
導体ウエハを搬入及び搬出するためのゲート弁付き開口
部7,所定の流量の反応ガスを導入する導入ポート5,反
応ガスを半導体基板3に均一に吹き付けるためのシャワ
ーヘッド4,該シャワーヘッドに接続され反応空間に高
周波プラズマを発生させるための高周波発振器6及び真
空排気ポンプ(図示せず)に接続された排気口8を有す
る。これらは当業者に周知であるのでこれ以上の説明は
省略する。
【0044】図4は,本発明に係る基板支持機構(12,1
1,10,9)の部分拡大分解断面図を示している。当該基板
支持機構は,基板支持部材12,ピン11,ピンホルダ10,
及び固定ベース部材9から成る。図5は図4の基板支持
部材12をさらに拡大したものである。基板支持部材12は
鍔形に膨出した頭部20と円筒状のボディ25から成る。頭
部20は円錐形の上部27及び逆円錐台形の下部26から成
る。ボディ25は軸線方向に内部空洞23を有する。該内部
空洞23の上端は当接面18を有し下端は下に向かって開か
れた開口端24を有する。該開口端24内にピン11の先端19
が挿入される。また該空洞23の内径はピンを挿入したと
きに遊びがないようにピン11の外径よりわずかに大きく
設計されている。そのためピン11は空洞内を摺動する。
【0045】図6を参照すると,基板支持部材12の先端
部22の変形例が示されている。先端部22の円錐部の内角
(θ)はシャワープヘッド4との間で異常放電が生じない
ように十分に大きく設定され,好適には150°≦θ≦175
°である(図6(a)及び(b))。また,先端部22は基板の
裏面との静電気吸着を防止するよう所定の半径を有する
円形平面であっても良い(図6(c))。その際先端部の
円の直径は好適にはφ≦1.5mmである。
【0046】図4に戻って,サセプタの貫通孔13の内径
は基板支持部材12の外径よりわずかに大きく設計されて
おり,基板支持部材12は貫通孔13内を摺動する。貫通孔
13のリップ21は,基板支持部材12の頭部20の下部26の曲
面と実質的に同じ角度で面取りされている。そのため基
板支持部材12が貫通孔13内に挿入されたとき頭部20の下
部26はリップ21と隙間無く係合し,基板支持部材12は貫
通孔13内で担持される。
【0047】ここで,注意すべき点は,基板保持部材12
がサセプタ2の貫通孔13内に担持されるとき(例えば図
1若しくは図2(a)),貫通孔13は完全に封止され,基
板保持部材12の先端部22はサセプタ2の表面より低い位
置にあり,かつピン11の先端19と基板支持部材12の空洞
内部の当接面18との間に空隙が存在するということであ
る。これによって,従来は困難であったピンの位置調節
が容易になる。
【0048】図7は本発明に係る基板支持部材及びピン
の他の実施例を示したものである。基板支持部材12’は
内部空間23’の上端部に円錐形に切削された当接面18’
を有する。基板支持部材12’をリフトするピン11’の先
端部19’は上記当接面18’と隙間無く係合するように実
質的に同じ円錐形を有する。基板支持部材12’の壁面に
はガス抜き用の孔34を設けることもできる。
【0049】図8及び図9はそれぞれ本発明に係るピン
固定組立体の平面図及び断面図を示したものである。ピ
ン固定組立体は固定ベース部材9及びピンホルダ10から
成る。
【0050】固定ベース部材9はサセプタ2のステム14が
貫通する中央の円筒部30及び該円筒部30の上端周縁部33
から放射状に等間隔に延伸した少なくとも3つのブレー
ド31から成る。各ブレードの端部付近にはピンホルダ10
を受け入れるべく螺刻された孔32が設けられている。
【0051】ピンホルダ10はピン11を受け入れるための
細孔部28と,上記ブレードに設けられた孔32と螺合する
よう螺刻されたネジ部29から成る。ピン11は,固定ベー
ス部材9に螺合されたピンホルダ10を介して固定ベース
部材に結合される。これによってピンの下端は固定さ
れ,ピンの上端はサセプタの下方移動に伴って安定して
基板支持部材12をリフトすることができる。また,ピン
ホルダ10へのピン11の脱着は,サセプタ2が最下点にあ
るとき(図2(c)参照)サセプタ2を取り外すことなくそ
の上から容易に行うことができる。
【0052】
【発明の効果】本発明に係る基板支持機構によって,従
来の面倒なピンの位置調整が全く不要になった。その結
果,プラズマクリーニングの際の異常放電の心配もなく
なり,歩留まりが良くなると同時に作業効率が向上し
た。
【0053】また,本発明に係る基板支持機構によっ
て,消耗部品の交換が簡単でメンテナンスにかかる時間
を短縮することができ,その結果従来に比べ生産性が向
上した。
【0054】さらに,本発明に係る基板支持機構によっ
て,大口径の半導体ウエハでも容易に支持することがで
きるようになり,連続する負荷にも十分に耐える安定し
た搬送が可能となった。
【0055】さらにまた,本発明に係る基板支持機構に
よって,ピンが半導体ウエハの裏面に静電吸着すること
が無くなり,パーティクル汚染の心配も無くなった。
【図面の簡単な説明】
【図1】図1は,本発明に係る半導体処理装置の断面略
示図である。
【図2】図2(a)〜(c)は,本発明に係る基板支持機構の
作用を示す部分拡大断面図である。
【図3】図3は,本発明に係る半導体処理装置内で処理
が終了した時の半導体処理装置を略示したものである。
【図4】図4は,本発明に係る半導体処理装置内の基板
支持機構の拡大部分断面分解図である。
【図5】図5は,本発明に係る基板支持部材の部分断面
拡大図である。
【図6】図6(a)〜(c)は,本発明に係る基板保持部材の
頭部の変形例を示したものである。
【図7】図7は,本発明に係る基板保持部材及びピンの
他の実施例を示したものである。
【図8】図8は,本発明に係るピン固定組立体の平面図
である。
【図9】図9(a)及び(b)は,それぞれ本発明に係るピン
固定組立体の分解断面図及び断面図である。
【符号の説明】
1 反応チャンバ 2 サセプタ 3 半導体ウエハ 4 シャワーヘッド 5 ガス導入ポート 6 高周波発振器 7 ゲート弁 8 排気口 9 固定ベース部材 10 ピンホルダ 11 ピン 12 基板支持部材 13 貫通孔 14 ステム 15 動力伝達部材 16 電動式駆動装置 17 ベローズ

Claims (13)

    【特許請求の範囲】
  1. 【請求項1】半導体基板を処理するための半導体処理装
    置であって,真空排気されたチャンバと,前記チャンバ
    内にあって,前記半導体基板を保持し,少なくとも3つ
    の貫通孔を有するサセプタと,前記半導体基板の処理時
    若しくは前記チャンバのクリーニング時に前記貫通孔内
    で担持され,前記半導体基板の搬入若しくは搬出時に前
    記半導体基板を支持する基板支持部材と,一端が前記基
    板支持部材内部に挿入されるピンと,前記チャンバ底部
    にあって,前記ピンの他端を固定するためのピン固定組
    立体と,から成り,前記サセプタを下方に移動すること
    によって,前記ピンが前記基板支持部材を押し上げ,前
    記半導体基板は少なくとも3つの前記半導体支持部材に
    よってサセプタから離れて空中で支持される,ところの
    装置。
  2. 【請求項2】請求項1に記載の装置であって,前記基板
    支持部材は,鍔形の頭部及び円筒形のボディを有し,前
    記ボディの一端は開放され前記ピンの一端を受容し,前
    記ボディの他端は閉止され前記ピンの一端と当接する,
    ところの装置。
  3. 【請求項3】請求項2に記載の装置であって,前記頭部
    は円錐形の上部及び逆円錐台形の下部から成る,ところ
    の装置。
  4. 【請求項4】請求項3に記載の装置であって,サセプタ
    の前記貫通孔の上部開口円周部は前記基板支持部材の前
    記下部の曲面と同じ角度で面取りされており,前記開口
    円周部と前記下部の曲面が隙間なく係合し前記基板支持
    部材が前記開口円周部によって担持された状態で,基板
    支持部材の先端部がサセプタ表面より上に突出しない,
    ところの装置。
  5. 【請求項5】請求項2に記載の装置であって,前記ピン
    の一端は円錐形を有し,前記基板支持部材の当接面は前
    記ピンの一端と同じ円錐形の凹部を形成する,ところの
    装置。
  6. 【請求項6】請求項1に記載の装置であって,前記ピン
    固定組立体は,固定ベース部材と,ピンホルダと,から
    成るところの装置。
  7. 【請求項7】請求項6に記載の装置であって,前記固定
    ベース部材は,中央の円筒部材と,前記円筒部材の上端
    周縁部から放射状に等間隔に伸長する少なくとも3つの
    ブレードと,から成り,前記ブレードの各端部付近には
    前記ピンホルダを受容するための螺刻された孔が形成さ
    れている,ところの装置。
  8. 【請求項8】請求項7に記載の装置であって,前記ピン
    ホルダは前記ピンを受容するための細孔部と,前記ブレ
    ードの前記孔と螺合するよう螺刻されたネジ部と,から
    成る,ところの装置。
  9. 【請求項9】請求項1に記載の装置であって,さらに前
    記サセプタを機械的に上下に移動するための移動機構を
    有する,ところの装置。
  10. 【請求項10】請求項9に記載の装置であって,さらに
    前記移動機構と前記チャンバとはベローズ手段によって
    隔絶されている,ところの装置。
  11. 【請求項11】請求項1に記載の基板支持部材,ピン,
    及びピン固定組立体は,耐熱性に優れた熱膨張係数の小
    さい材料により構成される,ところの装置。
  12. 【請求項12】請求項11に記載の装置であって,前記
    材料はセラミックである,ところの装置。
  13. 【請求項13】請求項11に記載の装置であって,前記
    材料は酸化アルミニウム若しくは窒化アルミニウムであ
    る,ところの装置。
JP10313799A 1999-04-09 1999-04-09 半導体処理装置 Expired - Lifetime JP3398936B2 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP10313799A JP3398936B2 (ja) 1999-04-09 1999-04-09 半導体処理装置
US09/544,764 US6435798B1 (en) 1999-04-09 2000-04-07 Semiconductor processing apparatus with substrate-supporting mechanism

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP10313799A JP3398936B2 (ja) 1999-04-09 1999-04-09 半導体処理装置

Publications (2)

Publication Number Publication Date
JP2000294620A true JP2000294620A (ja) 2000-10-20
JP3398936B2 JP3398936B2 (ja) 2003-04-21

Family

ID=14346150

Family Applications (1)

Application Number Title Priority Date Filing Date
JP10313799A Expired - Lifetime JP3398936B2 (ja) 1999-04-09 1999-04-09 半導体処理装置

Country Status (2)

Country Link
US (1) US6435798B1 (ja)
JP (1) JP3398936B2 (ja)

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007266514A (ja) * 2006-03-30 2007-10-11 V Technology Co Ltd 作業装置におけるワーク受け渡し装置
JP2008500709A (ja) * 2004-05-28 2008-01-10 オー・ツェー・エリコン・バルザース・アクチェンゲゼルシャフト 摩擦を減らすための、ローラグライドを備えたリフトピン
JP2010016415A (ja) * 2004-06-01 2010-01-21 Applied Materials Inc 基板を支持するための方法及び装置
JP2010073753A (ja) * 2008-09-16 2010-04-02 Tokyo Electron Ltd 基板載置台およびそれを用いた基板処理装置
KR100994074B1 (ko) * 2003-08-14 2010-11-12 주성엔지니어링(주) 리프트핀 지지대
KR101002327B1 (ko) * 2003-12-24 2010-12-17 엘지디스플레이 주식회사 플라즈마 화학 기상 증착 장비
US7907289B2 (en) 2007-09-13 2011-03-15 Horiba, Ltd. Substrate measuring stage
JP2011525717A (ja) * 2008-06-24 2011-09-22 アプライド マテリアルズ インコーポレイテッド 大型足部リフトピン
WO2012147600A1 (ja) * 2011-04-26 2012-11-01 シャープ株式会社 基板保持装置
WO2013054776A1 (ja) * 2011-10-13 2013-04-18 株式会社アルバック 真空処理装置
JP2017518523A (ja) * 2014-04-09 2017-07-06 エーエスエムエル ネザーランズ ビー.ブイ. 物体を洗浄するための装置
CN110648958A (zh) * 2019-09-26 2020-01-03 京东方科技集团股份有限公司 基板支撑台以及基板制备装置
JP2020017590A (ja) * 2018-07-24 2020-01-30 キオクシア株式会社 基板支持装置およびプラズマ処理装置
CN111566797A (zh) * 2018-01-09 2020-08-21 瓦里安半导体设备公司 用于晶片处理的升降销系统
KR20200134774A (ko) * 2019-05-23 2020-12-02 세메스 주식회사 기판 지지 유닛 및 이를 가지는 기판 처리 장치
KR20210021685A (ko) * 2019-08-19 2021-03-02 주식회사 유진테크 기판 지지 조립체 및 기판 처리 장치
JP2023032647A (ja) * 2021-08-27 2023-03-09 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法および記録媒体

Families Citing this family (398)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7515264B2 (en) * 1999-06-15 2009-04-07 Tokyo Electron Limited Particle-measuring system and particle-measuring method
TW507312B (en) * 2000-02-04 2002-10-21 Philips Electron Optics Bv Particle-optical apparatus, and object carrier therefor
US20020126437A1 (en) * 2001-03-12 2002-09-12 Winbond Electronics Corporation Electrostatic chuck system and method for maintaining the same
KR100422199B1 (ko) * 2001-05-04 2004-03-12 주성엔지니어링(주) 반도체 소자 제조장치
US6695920B1 (en) 2001-06-27 2004-02-24 Advanced Cardiovascular Systems, Inc. Mandrel for supporting a stent and a method of using the mandrel to coat a stent
KR100666764B1 (ko) * 2001-10-16 2007-01-09 동경 엘렉트론 주식회사 피처리체 승강기구 및 이를 사용한 처리장치
KR20030039247A (ko) * 2001-11-12 2003-05-17 주성엔지니어링(주) 서셉터
US20030178145A1 (en) * 2002-03-25 2003-09-25 Applied Materials, Inc. Closed hole edge lift pin and susceptor for wafer process chambers
US6846380B2 (en) * 2002-06-13 2005-01-25 The Boc Group, Inc. Substrate processing apparatus and related systems and methods
KR20040048018A (ko) * 2002-12-02 2004-06-07 주식회사 에이디피엔지니어링 Fpd 제조장치
US7074276B1 (en) 2002-12-12 2006-07-11 Advanced Cardiovascular Systems, Inc. Clamp mandrel fixture and a method of using the same to minimize coating defects
US7204888B2 (en) * 2003-05-01 2007-04-17 Applied Materials, Inc. Lift pin assembly for substrate processing
US7055875B2 (en) * 2003-07-11 2006-06-06 Asyst Technologies, Inc. Ultra low contact area end effector
TW594856B (en) * 2003-07-22 2004-06-21 Chunghwa Picture Tubes Ltd Downward mechanism for supporting pins
KR100549273B1 (ko) * 2004-01-15 2006-02-03 주식회사 테라세미콘 반도체 제조장치의 기판홀더
US20050176252A1 (en) * 2004-02-10 2005-08-11 Goodman Matthew G. Two-stage load for processing both sides of a wafer
JP2005317749A (ja) * 2004-04-28 2005-11-10 Sumitomo Electric Ind Ltd 半導体製造装置用保持体及びそれを搭載した半導体製造装置
CN100358092C (zh) * 2004-06-14 2007-12-26 中华映管股份有限公司 支撑顶针的下拉机构
US7018161B2 (en) * 2004-06-18 2006-03-28 Blueprint Automation B.V. Suction head
US7073834B2 (en) * 2004-06-25 2006-07-11 Applied Materials, Inc. Multiple section end effector assembly
US20060113806A1 (en) * 2004-11-29 2006-06-01 Asm Japan K.K. Wafer transfer mechanism
US7789963B2 (en) * 2005-02-25 2010-09-07 Tokyo Electron Limited Chuck pedestal shield
US7823533B2 (en) 2005-06-30 2010-11-02 Advanced Cardiovascular Systems, Inc. Stent fixture and method for reducing coating defects
US7735449B1 (en) 2005-07-28 2010-06-15 Advanced Cardiovascular Systems, Inc. Stent fixture having rounded support structures and method for use thereof
US7867547B2 (en) 2005-12-19 2011-01-11 Advanced Cardiovascular Systems, Inc. Selectively coating luminal surfaces of stents
US7638003B2 (en) * 2006-01-12 2009-12-29 Asm Japan K.K. Semiconductor processing apparatus with lift pin structure
US20070212200A1 (en) * 2006-03-09 2007-09-13 Tokyo Electron Limited Lifter and target object processing apparatus provided with lifter
US7985441B1 (en) 2006-05-04 2011-07-26 Yiwen Tang Purification of polymers for coating applications
US8003156B2 (en) 2006-05-04 2011-08-23 Advanced Cardiovascular Systems, Inc. Rotatable support elements for stents
US8057153B2 (en) * 2006-09-05 2011-11-15 Tokyo Electron Limited Substrate transfer device, substrate processing apparatus and substrate transfer method
US8256754B2 (en) * 2007-12-12 2012-09-04 Applied Materials, Inc. Lift pin for substrate processing
US8276959B2 (en) 2008-08-08 2012-10-02 Applied Materials, Inc. Magnetic pad for end-effectors
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5359698B2 (ja) * 2009-08-31 2013-12-04 豊田合成株式会社 化合物半導体の製造装置、化合物半導体の製造方法及び化合物半導体
JP5721132B2 (ja) * 2009-12-10 2015-05-20 オルボテック エルティ ソラー,エルエルシー 真空処理装置用シャワーヘッド・アセンブリ及び真空処理装置用シャワーヘッド・アセンブリを真空処理チャンバに締結する方法
US8608146B2 (en) * 2009-12-18 2013-12-17 Lam Research Ag Reinforced pin for being used in a pin chuck, and a pin chuck using such reinforced pin
US8459276B2 (en) 2011-05-24 2013-06-11 Orbotech LT Solar, LLC. Broken wafer recovery system
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
KR102097109B1 (ko) * 2013-01-21 2020-04-10 에이에스엠 아이피 홀딩 비.브이. 증착 장치
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9991153B2 (en) * 2013-03-14 2018-06-05 Applied Materials, Inc. Substrate support bushing
US10195704B2 (en) * 2013-03-15 2019-02-05 Infineon Technologies Ag Lift pin for substrate processing
US10163676B2 (en) * 2013-06-27 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and system for preventing backside peeling defects on semiconductor wafers
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9370863B2 (en) * 2014-02-04 2016-06-21 Asm Ip Holding B.V. Anti-slip end-effector for transporting workpiece
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9624574B2 (en) * 2014-05-12 2017-04-18 Varian Semiconductor Equipment Associates, Inc. Platen with multiple shaped grounding structures
US10892180B2 (en) * 2014-06-02 2021-01-12 Applied Materials, Inc. Lift pin assembly
US9978632B2 (en) * 2014-06-13 2018-05-22 Applied Materials, Inc. Direct lift process apparatus
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10711348B2 (en) * 2015-03-07 2020-07-14 Applied Materials, Inc. Apparatus to improve substrate temperature uniformity
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
CN105080803B (zh) * 2015-08-21 2018-08-07 京东方科技集团股份有限公司 基板承载结构、减压干燥设备及减压干燥方法
CN105118803B (zh) * 2015-08-21 2019-01-22 京东方科技集团股份有限公司 顶针机构及支撑装置
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10998219B2 (en) * 2016-06-13 2021-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer support device and method for removing lift pin therefrom
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
DE102016212780A1 (de) * 2016-07-13 2018-01-18 Siltronic Ag Vorrichtung zur Handhabung einer Halbleiterscheibe in einem Epitaxie-Reaktor und Verfahren zur Herstellung einer Halbleiterscheibe mit epitaktischer Schicht
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10460977B2 (en) * 2016-09-29 2019-10-29 Lam Research Corporation Lift pin holder with spring retention for substrate processing systems
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10262887B2 (en) * 2016-10-20 2019-04-16 Lam Research Corporation Pin lifter assembly with small gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
CN109923659B (zh) 2016-11-09 2024-03-12 东京毅力科创Fsi公司 用于在处理室中处理微电子衬底的磁悬浮且旋转的卡盘
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
TWI765936B (zh) 2016-11-29 2022-06-01 美商東京威力科創Fsi股份有限公司 用以對處理腔室中之微電子基板進行處理的平移與旋轉夾頭
CN110050336B (zh) * 2016-12-07 2023-05-23 东京毅力科创Fsi公司 用于制造半导体装置的晶片边缘提升销设计
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN106607320B (zh) * 2016-12-22 2019-10-01 武汉华星光电技术有限公司 适用于柔性基板的热真空干燥装置
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
WO2018140789A1 (en) 2017-01-27 2018-08-02 Tel Fsi, Inc. Systems and methods for rotating and translating a substrate in a process chamber
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10535549B2 (en) * 2017-10-27 2020-01-14 Applied Materials, Inc. Lift pin holder
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
JP7357625B2 (ja) 2018-02-19 2023-10-06 ティーイーエル マニュファクチュアリング アンド エンジニアリング オブ アメリカ,インコーポレイテッド 制御可能なビームサイズの処理噴霧を有する小型電子機器処理システム
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110899271B (zh) * 2018-09-17 2021-10-15 北京北方华创微电子装备有限公司 远程等离子源的调整装置及远程等离子源清洗系统
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
DE102018009630A1 (de) * 2018-12-11 2020-06-18 Vat Holding Ag Stifthubvorrichtung mit Temperatursensor
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
CN111341638B (zh) * 2018-12-19 2023-08-01 夏泰鑫半导体(青岛)有限公司 工艺腔室及其清洁方法及晶圆传输方法
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021012944A (ja) * 2019-07-05 2021-02-04 東京エレクトロン株式会社 基板処理装置及び基板の受け渡し方法
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
KR102317402B1 (ko) * 2020-06-26 2021-10-26 주식회사 아이에스티이 이중 벨로우즈를 이용한 기판 처리장치
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
JP7197739B2 (ja) * 2021-05-10 2022-12-27 ピコサン オーワイ 基板処理装置及び方法
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5352294A (en) * 1993-01-28 1994-10-04 White John M Alignment of a shadow frame and large flat substrates on a support
US5421893A (en) * 1993-02-26 1995-06-06 Applied Materials, Inc. Susceptor drive and wafer displacement mechanism
JP3602324B2 (ja) * 1998-02-17 2004-12-15 アルプス電気株式会社 プラズマ処理装置
US6168668B1 (en) * 1998-11-25 2001-01-02 Applied Materials, Inc. Shadow ring and guide for supporting the shadow ring in a chamber

Cited By (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100994074B1 (ko) * 2003-08-14 2010-11-12 주성엔지니어링(주) 리프트핀 지지대
KR101002327B1 (ko) * 2003-12-24 2010-12-17 엘지디스플레이 주식회사 플라즈마 화학 기상 증착 장비
JP2008500709A (ja) * 2004-05-28 2008-01-10 オー・ツェー・エリコン・バルザース・アクチェンゲゼルシャフト 摩擦を減らすための、ローラグライドを備えたリフトピン
JP2010016415A (ja) * 2004-06-01 2010-01-21 Applied Materials Inc 基板を支持するための方法及び装置
JP2007266514A (ja) * 2006-03-30 2007-10-11 V Technology Co Ltd 作業装置におけるワーク受け渡し装置
US7907289B2 (en) 2007-09-13 2011-03-15 Horiba, Ltd. Substrate measuring stage
JP2011525717A (ja) * 2008-06-24 2011-09-22 アプライド マテリアルズ インコーポレイテッド 大型足部リフトピン
JP2010073753A (ja) * 2008-09-16 2010-04-02 Tokyo Electron Ltd 基板載置台およびそれを用いた基板処理装置
WO2012147600A1 (ja) * 2011-04-26 2012-11-01 シャープ株式会社 基板保持装置
JPWO2013054776A1 (ja) * 2011-10-13 2015-03-30 株式会社アルバック 真空処理装置
WO2013054776A1 (ja) * 2011-10-13 2013-04-18 株式会社アルバック 真空処理装置
JP2017518523A (ja) * 2014-04-09 2017-07-06 エーエスエムエル ネザーランズ ビー.ブイ. 物体を洗浄するための装置
CN111566797B (zh) * 2018-01-09 2023-11-21 瓦里安半导体设备公司 用于晶片处理的升降销系统及升降销总成
CN111566797A (zh) * 2018-01-09 2020-08-21 瓦里安半导体设备公司 用于晶片处理的升降销系统
JP7110020B2 (ja) 2018-07-24 2022-08-01 キオクシア株式会社 基板支持装置およびプラズマ処理装置
JP2020017590A (ja) * 2018-07-24 2020-01-30 キオクシア株式会社 基板支持装置およびプラズマ処理装置
KR20200134774A (ko) * 2019-05-23 2020-12-02 세메스 주식회사 기판 지지 유닛 및 이를 가지는 기판 처리 장치
KR102278083B1 (ko) * 2019-05-23 2021-07-16 세메스 주식회사 기판 지지 유닛 및 이를 가지는 기판 처리 장치
KR20210021685A (ko) * 2019-08-19 2021-03-02 주식회사 유진테크 기판 지지 조립체 및 기판 처리 장치
KR102310036B1 (ko) 2019-08-19 2021-10-07 주식회사 유진테크 기판 지지 조립체 및 기판 처리 장치
CN110648958B (zh) * 2019-09-26 2022-04-08 京东方科技集团股份有限公司 基板支撑台以及基板制备装置
CN110648958A (zh) * 2019-09-26 2020-01-03 京东方科技集团股份有限公司 基板支撑台以及基板制备装置
JP2023032647A (ja) * 2021-08-27 2023-03-09 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法および記録媒体

Also Published As

Publication number Publication date
US6435798B1 (en) 2002-08-20
JP3398936B2 (ja) 2003-04-21

Similar Documents

Publication Publication Date Title
JP2000294620A (ja) 半導体処理装置
US11133210B2 (en) Dual temperature heater
JP7297440B2 (ja) 移動可能及び取り外し可能なプロセスキット
JP4687534B2 (ja) 基板の載置機構及び基板処理装置
US6374508B1 (en) Apparatus and method for aligning a substrate on a support member
CN111430232B (zh) 等离子体处理装置和等离子体处理装置的载置台
US7922440B2 (en) Apparatus and method for centering a substrate in a process chamber
JP4146905B2 (ja) 処理装置
TWI738901B (zh) 用於電漿處理系統中的載體板
US10535549B2 (en) Lift pin holder
KR100856153B1 (ko) 기판 탑재 기구 및 기판 처리 장치
US20050022737A1 (en) Semiconductor-processing apparatus provided with susceptor and placing block
JP2007189222A (ja) リフトピン構造を有する半導体処理装置
US20070215049A1 (en) Transfer of wafers with edge grip
CN107227448B (zh) 基座以及物理气相沉积装置
KR20170102008A (ko) 기판 이송 메커니즘들
KR20010082657A (ko) 퍼지링용 결속장치
JP2019149422A (ja) プラズマ処理装置及び載置台の製造方法
TW201405701A (zh) 用於基板處理室的兩片快門盤組件
TW202217907A (zh) 電漿處理裝置及電漿處理裝置之載置台
KR20200135550A (ko) 자기-중심조정 피쳐를 갖는 2-피스 셔터 디스크 조립체
JP4367959B2 (ja) プラズマ処理装置
US20210238741A1 (en) Cover ring and ground shield for physical vapor deposition chamber
JP2912613B1 (ja) 板体加熱装置
JP2000252350A (ja) 基板受け渡し装置

Legal Events

Date Code Title Description
R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080221

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090221

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100221

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100221

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110221

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120221

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120221

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130221

Year of fee payment: 10

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130221

Year of fee payment: 10

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140221

Year of fee payment: 11

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term