DE69928126T2 - Vorrichtung zur scheibenbehandlung - Google Patents

Vorrichtung zur scheibenbehandlung Download PDF

Info

Publication number
DE69928126T2
DE69928126T2 DE69928126T DE69928126T DE69928126T2 DE 69928126 T2 DE69928126 T2 DE 69928126T2 DE 69928126 T DE69928126 T DE 69928126T DE 69928126 T DE69928126 T DE 69928126T DE 69928126 T2 DE69928126 T2 DE 69928126T2
Authority
DE
Germany
Prior art keywords
wafer
processing
wafer processing
station
modules
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE69928126T
Other languages
English (en)
Other versions
DE69928126D1 (de
Inventor
Heon Jae PARK
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Holding NV
Original Assignee
ASML Holding NV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Holding NV filed Critical ASML Holding NV
Publication of DE69928126D1 publication Critical patent/DE69928126D1/de
Application granted granted Critical
Publication of DE69928126T2 publication Critical patent/DE69928126T2/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67178Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers vertical arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/137Associated with semiconductor wafer handling including means for charging or discharging wafer cassette

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Weting (AREA)
  • Grinding Of Cylindrical And Plane Surfaces (AREA)
  • Electrical Discharge Machining, Electrochemical Machining, And Combined Machining (AREA)

Description

  • Hintergrund der Erfindung
  • 1. Gebiet der Erfindung
  • Die Erfindung betrifft allgemein das Gebiet der mikroelektronischen Herstellung. Insbesondere bezieht sich die Erfindung auf Systemaufbauten zur Waferbearbeitung.
  • 2. Diskussion ähnlichen Standes der Technik
  • In dem Verfahren zur Herstellung einer Halbleitervorrichtung, wie zum Beispiel einer integrierten Schaltung, müssen zahlreiche Schritte für die Mikrofabrikation durchgeführt werden, um eine endgültige Vorrichtung zu bilden. Einer dieser Schritte ist die Bildung einer Photolackschicht auf einer Oberfläche eines Wafers. Das Bilden der Photolackschicht erfolgt in typischer Weise in einer Waferbearbeitungsvorrichtung, die auch ein Spursystem (bzw. ein Bahnsystem) oder Track-System genannt werden kann. In dem Track-System wird eine Reihe von Bearbeitungen auf der Oberfläche des Wafers in einer Reihe von Modulen durchgeführt, um die Photolackschicht zu bilden.
  • Ein herkömmliches Track-System weist drei Abschnitte auf. Der erste Abschnitt, der ein Schnittstellenabschnitt ist, wird verwendet, um Wafer aus Kassetten zu dem Track-System zu überführen und umgekehrt von dem Track-System zurück zu den Kassetten. Der zweite Abschnitt des Tracks wird der Bearbeitungsabschnitt genannt. Der Bearbeitungsabschnitt schließt eine Anzahl von Bearbeitungsmodulen ein, wie zum Beispiel Photolackbeschichtungsschleudermodule, Brennmodule, Kühlmodule und Photolackentwicklungsschleudermodule. Der dritte Abschnitt, der ein anderer Schnittstellenabschnitt ist, wird verwendet, um die Wafer aus dem Track-System zu einem lithographischen Belichtungswerkzeug zu überführen und umgekehrt aus dem Belichtungswerkzeug zurück zu dem Track. Die Wafer werden zwischen diesen Abschnitten transportiert. Von Wafertransporteinrichtungen werden die Wafer zu den Bearbeitungsmodulen zugeführt, von diesen bearbeitet, aus diesen entnommen und unter diese transportiert.
  • Die Zeit, die ein Wafer sich in einem Bearbeitungsmodul befindet, wird gesamte Bearbeitungszeit des Wafers genannt. Die gesamte Bearbeitungszeit besteht aus der eigentlichen Bearbeitungszeit, welche durch die Bearbeitungsrezeptur bestimmt wird, plus der Modulverwaltungszeit, die eine Funktion mindestens zum Teil der elektromechanischen Ausführung des Moduls ist. Die Vorbearbeitungszeit ist definiert als diejenige Zeit, die ein Wafer in einem Modul wartet, bevor die eigentliche Bearbeitungszeit beginnt. In ähnlicher Weise ist die Nachbearbeitungszeit als diejenige Zeit definiert, die ein Wafer in einem Modul wartet, um nach Abschluß der eigentlichen Bearbeitungszeit entnommen zu werden. Die Zeit zwischen dem Entnehmen eines Wafers aus einem Modul und seinem Zuführen zu dem nächsten Modul wird Wafertransportzeit genannt.
  • Wafer werden zuerst aus einer oder mehreren Waferkassetten durch die Kassettenendstation zu dem Nachführ- oder Track-System herangebracht. Bei der Bearbeitung zum Bilden einer Photolackfilmschicht wird die Oberfläche des Wafers zuerst behandelt, und Feuchtigkeit wird mit Hitze und/oder chemisch entfernt. Der Wafer wird dann gekühlt und zu einer Beschichtungseinheit gefördert, wo das Photolackpolymer gleichmäßig auf der Oberfläche des Wafers verteilt wird. Der mit dem Photolack beschichtete Wafer wird dann zu einer Heizeinheit geschickt, und das Photolackpolymer wird in einen stabilen Film umgewandelt. Bei der Beendigung des Heizschrittes wird der Wafer gekühlt und entweder zu einer Kassette gefördert und als behandelter Wafer gelagert oder, in den meisten Fällen, direkt durch eine Stepperschnittstelle zu dem Stepper überführt. In dem Stepper wird der stabile Film durch eine photolithographische Technik für ein Schaltkreismuster freigelegt. Nach der Belichtung des stabilen Filmes wird der Wafer zu dem Track zurückgeführt und in einem Brennmodul gebrannt, um das Schaltkreismuster in dem Film zu fixieren. Der Wafer wird dann in einem Kühlmodul gekühlt und zu einem Schleudermodul für Entwickler überführt. In dem Entwicklerschleudermodul wird eine Entwicklerlösung auf den Film gebracht, um einen Abschnitt des Filmes zu entwickeln, und dann wird eine Spüllösung aufgebracht, um die Oberfläche des Wafers zu reinigen. Danach wird der Wafer in einem Brennmodul thermisch behandelt, in einem Kühlmodul gekühlt und dann zu einer Kassette zurückgeführt für die Speicherung und/oder den Transport. Der Behandlungsprozeß und die Reihenfolge können je nach dem Typ der integrierten Schaltung und der in dem Prozeß verwendeten chemischen Verbindungen variieren. Infolgedessen kann ein oder können mehrere der Unterschritte als kritischer Prozeß identifiziert werden, der minimalen Vorbearbeitung- und/oder Nachbearbeitungszeiten unterworfen werden sollte. Ferner sollte eine kritische Bearbeitung einer minimalen Veränderung der Vorbearbeitungs- und/oder Nachbearbeitungszeiten unterliegen.
  • Die maximale Durchsatzleistung eines Nachführ- bzw. Track-Systems wird oft durch die Überauslastung von Robotern beschränkt. Die Roboterüberauslastung kann als eine Situation definiert werden, in welcher ein Fehlen von zur Verfügung stehender Roboterressourcen eine Verlängerung der Nachbearbeitungszeit hervorruft. Herkömmlich ist eine oder sind mehrere der folgenden Lösungen verwendet worden, um gegen die Roboterüberauslastung anzugehen: (a) Erhöhen der Robotergeschwindigkeit; (b) Hinzufügen von mehr Waferhandhabern; und (c) Beschaffen von Robotern mit mehr als einer speziell angefertigten Waferhandhabungseinrichtung. Die Erhöhung der Robotergeschwindigkeit erhöht die Kosten des Track-Systems, verringert die Zuverlässigkeit und stößt möglicherweise an eine Ausführungsgrenze. Das Hinzufügen von speziell angefertigten Überführungsarmen, um Wafer unter zwei benachbarten und aufeinanderfolgenden Bearbeitungsmodulen zu transportieren, beschränkt den Systemaufbau, erhöht die Kosten des Track-Systems und kann dort nicht verwendet werden, wo Module nicht nebeneinander angeordnet sind und aufeinander folgen. Die Ausgestaltung von Robotern mit mehr als einer speziell angefertigten Waferhandhabungseinrichtung fügt zu der Komplexizität des Roboteraufbaus zusätzliche Kosten hinzu und bürdet der Waferhandhabung zusätzliche Beschränkungen auf.
  • Ein Beispiel eines Waferbearbeitungssystems ist in der US-5,826,129 gegeben. Das System weist eine Kassettenstation, eine Bearbeitungsstation einschließlich einer Vielzahl von Bearbeitungskammern für die Bearbeitung von Wafern und eine Fördereinheit auf zum Be- und Entladen von Wafern in oder aus den Behandlungskammern, weist eine Überführungseinheit zum Überführen von Wafern zwischen der Kassettenstation und der Bearbeitungsstation und einen Schnittstellenabschnitt auf einschließlich einer zweiten Überführungseinheit zum Überführen von Wafern zu der Bearbeitungsstation und aus dieser. Die Bearbeitungsstation kann ferner eine zweite Fördereinheit mit zugeordneten Bearbeitungskammern einschließen, welche zwischen der Fördereinheit und dem Schnittstellenabschnitt angeordnet sind.
  • Ein weiteres Beispiel ist in der U S-5,766,824 gegeben und weist einen ersten Waferhandhabungsroboter und einen zweiten Waferhandhabungsroboter auf, die jeder Bearbeitungsmodule für Waferstapel haben, wobei die Module um sie herum angehäuft bzw. gruppiert sind. Die Wafer werden zwischen den zwei Gruppierungen über einen Durchtrittspuffer hindurchgeführt, der für beide Roboter zugänglich ist, und werden durch das System aus dem Kassetteneingangs-/-ausgangseinheiten und einem Stepper-Puffer hindurchgeführt, die auch für den Roboter zugänglich sind.
  • Bislang wurden aber die Erfordernisse, die Vorbearbeitungs- und/oder Nachbearbeitungszeiten zu minimieren und die Veränderung der Vorbearbeitungs- und/oder Nachbearbeitungszeiten zu minimieren und die oben beschriebene Roboterüberauslastung zu reduzieren, nicht ganz erfüllt. Was notwendig ist, ist eine Lösung, welche gleichzeitig alle diese Erfordernisse angeht oder anspricht.
  • Zusammenfassung der Erfindung
  • Ein primäres Ziel der Erfindung ist das Ausschalten der Notwendigkeit für speziell angefertigte Überführungsarme, um eine thermische Kreuzkopplung (Kopiereffekt) zu vermeiden. Ein anderes primäres Ziel der Erfindung ist das Minimieren der Vorbearbeitungs- und/oder Nachbearbeitungszeiten. Ein anderes primäres Ziel dieser Erfindung ist das Minimieren der Veränderung der Vorbearbeitungs- und/oder Nachbearbeitungszeiten. Ein anderes primäres Ziel der Erfindung ist die Verringerung der Roboterüberauslastung.
  • Entsprechend diesen Zielen besteht ein besonderes Bedürfnis nach einem Systemaufbau für die Bearbeitung von Wafern, bei welchem jedes Waferbearbeitungsmodul sowohl in einer ersten Bearbeitungsstation als auch in einer zweiten Bearbeitungsstation zugänglich ist von (i) einem entsprechenden Bearbeitungsstationsroboter und (ii) entweder einem Überführungsroboter für eine Waferkassette oder einen Stepperüberführungsroboter. Entsprechend diesen Zielen gibt es auch ein besonderes Bedürfnis nach einem Waferbearbeitungssystemaufbau, bei dem jedes Waferbearbeitungsmodul in einer Waferbearbeitungsstation von mindestens zwei benachbarten Wafertransporteinrichtungen (zum Beispiel Robotern) angesteuert werden kann. Auch gibt es gemäß diesen Zielen ein besonderes Bedürfnis nach einem Systemaufbau für die Bearbeitung von Wafern, bei welchem jede einer ersten Bearbeitungsstation und einer zweiten Bearbeitungsstation bezüglich einem Transporteinrichtungszugang für einen Wafer sowohl auf einer X-Achse als auch einer Y-Achse einzeln symmetrisch ist. Somit wird es möglich gemacht, die oben erwähnten Erfordernisses des Minimierens der Vorbearbeitungs- und/oder Nachbearbeitungszeiten, des Minimierens der Veränderung der Vorbearbeitungs- und/oder Nachbearbeitungszeiten und des Verringerns der Roboterüberausla stung gleichzeitig zu erfüllen, welche im Fall des Standes der Technik nicht gleichzeitig erfüllt wurden.
  • Die Erfindung stellt eine Vorrichtung zur Bearbeitung von Halbleiterwafern zur Verfügung mit: einer ersten Waferbearbeitungsstation, die aufweist: eine erste Hauptwafertransporteinrichtung für die Handhabung von Halbleiterwafern in der ersten Waferbearbeitungsstation und eine erste Vielzahl von Waferbearbeitungsstapeln, welche Bearbeitungsmodule enthalten, die in einer polygonalen Anordnung um die erste Hauptwafertransporteinrichtung angeordnet sind; eine zweite Waferbearbeitungsstation, die neben der ersten Waferbearbeitungsstation angeordnet ist und aufweist: eine zweite Hauptwafertransporteinrichtung für die Handhabung von Halbleiterwafern in der zweiten Waferbearbeitungsstation; und eine zweite Vielzahl von Waferbearbeitungsstapeln, welche Bearbeitungsmodule enthalten, die in einer polygonalen Anordnung um die zweite Hauptwafertransporteinrichtung herum angeordnet sind, eine Kassettenendstation zum Speichern von Halbleiterwafern und mit einer Wafertransporteinrichtung mit Kassette für das Transportieren von Halbleiterwafern zwischen einem Waferbearbeitungsstapel in einer Waferbearbeitungsstation und der Kassettenendstation; und eine Stepperschnittstelle mit einer Stepperwafertransporteinrichtung zum Transportieren von Halbleiterwafern zwischen einem Waferbearbeitungsstapel in einer Waferbearbeitungsstation und der Stepperschnittstelle, wobei die Kassettenwafertransporteinrichtung betrieblich in der Lage ist, Bearbeitungsmodule in jeder Waferbearbeitungsstation anzusteuern, und die Stepperwafertransporteinrichtung betrieblich in der Lage ist, Bearbeitungsmodule in jeder Waferbearbeitungsstation anzusteuern.
  • Diese und andere Ziele und Aspekte der Erfindung verstehen sich besser und erkennt man deutlicher, wenn man sie in Verbindung mit der folgenden Beschreibung und den anliegenden Zeichnungen betrachtet. Es versteht sich jedoch, daß die folgende Beschreibung, wenngleich sie bevorzugte Ausführungsformen der Erfindung und zahlreiche spezielle Einzelheiten derselben erläutert, zwecks Illustration und nicht als Beschränkung gedacht ist. Viele Veränderungen und Modifikationen können im Rahmen der Erfindung vorgenommen werden, welcher durch die anliegenden Ansprüche definiert wird.
  • Kurze Beschreibung der Zeichnungen
  • Eine klare Konzeption der Vorteile und Merkmale, welche die Erfindung bilden, und der Bestandteile und Funktion der Modellsysteme, die bei der Erfindung vorgesehen sind, ergeben sich deutlicher unter Bezugnahme auf die beispielhaften und daher nicht begrenzenden Ausführungsbeispiele, die in den anliegenden Zeichnungen veranschaulicht sind und Teil dieser Beschreibung bilden, wobei gleiche Bezugszahlen gleiche Teile bezeichnen. Hierbei gilt:
  • 1 veranschaulicht eine schematische Draufsicht auf eine Waferbearbeitungsvorrichtung mit einer Bearbeitungsstation.
  • 2 ist eine schematische Darstellung eines Beispiels einer Stapelanordnung, die bei der Waferbearbeitungsvorrichtung der 1 benutzt werden kann.
  • 3 veranschaulicht eine schematische Ansicht eines Betriebszustandes der Waferhandhabung, welcher der in 1 gezeigten Waferbearbeitungsvorrichtung zugeordnet ist.
  • 4 veranschaulicht eine schematische Draufsicht auf eine Waferbearbeitungsvorrichtung mit zwei Bearbeitungsstationen, wobei eine Ausführungsform der Erfindung dargestellt ist.
  • 5 veranschaulicht eine schematische perspektivische Ansicht der in 4 gezeigten Waferbearbeitungsvorrichtung.
  • Beschreibung bevorzugter Ausführungsbeispiele
  • Die Erfindung und die verschiedenen Merkmale und vorteilhaften Einzelheiten derselben werden vollständiger unter Bezugnahme auf die nicht begrenzenden Ausführungsbeispiele erläutert, die in den anliegenden Zeichnungen veranschaulicht und ausführlich in der folgenden Beschreibung bevorzugter Ausführungsformen beschrieben sind. Beschreibung bekannter Bestandteile und Bearbeitungstechniken sind weggelassen, um die Erfindung im einzelnen nicht unnötig zu verschleiern.
  • Der Kontext der Erfindung schließt die Herstellung von Halbleiterchips, Schaltkreisplatinen und zusammengebauten Komponenten ein. Die Erfindung kann auch Datenverarbeitungsverfahren verwenden, welche einen Prozeß-Feedback umwandeln, um zwischengeschaltete diskrete Hardwareelemente zu betätigen; um zum Beispiel Wafer zu bewegen oder zu überführen oder den Betrieb der Waferbearbeitungsmodule zu beeinflussen.
  • Die Waferbearbeitungsvorrichtung richtet sich darauf, die oben erwähnten Probleme des Minimierens von Vorbearbeitungs- und/oder Nachbearbeitungszeiten, des Minimierens der Veränderung von Vorbearbeitungs- und/oder Nachbearbeitungszeiten und des Reduzierens der Roboterüberauslastung anzugehen. Dementsprechend kann eine Waferbearbeitungsvorrichtung ein zeitlich und regelmäßig periodisches Waferhandhabungssystem zur Verfügung stellen, welches sowohl die Durchsatzleistung als auch die Stetigkeit im Bearbeitungsergebnis verbessert. Der Systemaufbau stellt zusammenwirkende oder gekoppelte Kassettenendspeicherstationen, Waferbearbeitungsstationen und Stepperabschnitte zur Verfügung. Die Waferbearbeitungsvorrichtung schließt in den Bearbeitungsstationen eine Vielzahl von Stapeln ein, wobei jeder Stapel bzw. Stapelspeicher aus einer Vielzahl von Bearbeitungsmodulen gebildet ist. Verschiedene Typen von Waferbearbeitungsmodulen sowie Konfigurationen für die Anordnung oder das Organisieren der Module können bei jedem der Stapel bzw. Stapelspeicher vorgesehen sein. Bei einer Ausführungsform stellt die Vorrichtung dieser Erfindung verschiedene Bearbeitungsmodule zur Verfügung, die in Stapeln gruppiert sind, welche in einem hexagonalen Muster angeordnet sind. Wafertransporteinheiten (zum Beispiel Roboter) sind an die Bearbeitungsstation gekoppelt, um die Module zu bedienen. Der Begriff gekoppelt, wie er hier verwendet wird, ist als verbunden definiert, obwohl nicht notwendigerweise direkt und nicht notwendigerweise mechanisch. Die Wafer werden unter Verwendung einer oder mehr der Wafertransporteinheiten den verschiedenen Bearbeitungsmodulen zugeführt, aus diesen entnommen und unter sie transportiert.
  • Die Vorrichtung weist eine Kassettenendstation auf, welche eine Wafertransporteinrichtung enthält, wie zum Beispiel einen Roboter, der sich linear in einer Richtung parallel zu der Kassettenendstation und in einer Richtung vertikal zur Bodenebene bewegt. Die Wafertransporteinrichtung kann auch längs einer Achse parallel zu der vertikalen Richtung drehen und kann in der Lage sein, sich in einer Ebene parallel zum Boden zu erstrecken. Die Vorrichtung hat auch zwei Bearbeitungsstationen, welche verschiedene Bearbeitungsmodule aufweisen, die um eine Wafertransporteinheit herum angeordnet sind. Die Wafertransporteinheit der Bearbeitungsstation kann ein Roboter sein, welcher die Fähigkeit hat, sich vertikal zu bewegen und um eine Achse parallel zu der vertikalen Richtung zu drehen. Die Vorrichtung hat auch einen Stepperschnittstellenabschnitt mit einer Eingangs-/Ausgangsöffnung und noch einer anderen Wafertransporteinrichtung. Die Wafertransporteinrichtung des Stepperschnittstellenabschnitts kann ein Roboter sein, der sich linear in der Richtung parallel zum Schnittstellenabschnitt und in der Richtung vertikal zur Bodenebene bewegt. Die Wafertransporteinrichtung kann sich auch längs einer Achse parallel zu der vertikalen Richtung drehen und ist in der Lage, sich in einer Ebene parallel zum Boden zu erstrecken.
  • Mindestens eine Bearbeitungsstation kann einen Greif- und Plazierroboter haben, der für die Lieferung von Wafern nacheinander und das Entnehmen von Wafern aus Waferbearbeitungsmodulen vorgesehen ist, die sich in der Bearbeitungsstation befinden oder ansteuerbar sind. Kassetten für die Aufnahme von Wafern können auf der Kassettenendstation (CES) angeordnet sein. Ein Roboter kann für den Transport von Wafern in beiden Richtungen zwischen mindestens einer Kassette in der CES und mindestens einem Bearbeitungsmodul in einem Stapel der Bearbeitungsstation vorgesehen sein. Der Stepperschnittstellenabschnitt (SI) kann einen anderen Roboter aufweisen für das Überführen von Wafern in beiden Richtungen zwischen mindestens einem Waferbearbeitungsmodul in einem Stapel der Bearbeitungsstation und einem an dem SI angekoppelten Stepper.
  • Eine Ausführungsform der Erfindung weist eine Wärmekammer als Teil des Nachführ- bzw. Tracksystems auf. Vorzugsweise ist die Wärmekammer eingeschlossen und umgebungsmäßig gesteuert, während sie in der Lage ist, Lösungsmittel, Feuchtigkeit und andere Chemikalien für die Bearbeitung von Wafern aufzunehmen, zu überwachen und zu steuern. Die Wärmekammer kann auch die Extraktion der Lösungsmittel, Feuchtigkeit und Chemikalien, die für die Waferbearbeitung benutzt werden, berücksichtigen. Der Druck in der Wärmekammer kann einstellbar sein, um die Funktionen der Kammer zu erleichtern. Diese Wärmekammer kann eines der Bearbeitungsmodule sein.
  • Diese Erfindung stellt eine Verbesserung gegenüber dem Stand der Technik insofern dar, als sie die Probleme reduziert, die sich aus der thermischen Kreuzkopplung ergeben. Thermische Kreuzkopplung ist eine unerwünschte Bedingung bei der Waferfabrikation, die entsteht, wenn der Wafer und eine Greifeinrichtung der Transporteinheit sich bei im wesentlichen unterschiedlichen Temperaturen befinden. Der Begriff Kreuzkopplung (Kopiereffekt), wie er hier verwendet wird, ist als Wärmeverunreinigung zwischen einem Wafer und einem Robotergreifer definiert infolge früherer Manipulation eines oder mehrerer Greifer durch den Robotergreifer, wobei die Wafer gegenüber einem laufenden Wafer eine andere Temperatur haben. Zum Beispiel kann die Wärmekreuzkopp ung auftreten, wenn der Wafer sich bei einer hohen Temperatur befindet und die Kontaktfläche des Greifers eine niedrige Temperatur hat oder umgekehrt. In solchen Fällen kann die Wärmetemperaturdifferenz zwischen der Kontaktfläche des Greifers und des Wafers ausreichen, um die thermische Kreuzkopplung zu erzeugen. Bei gewissen Waferbearbeitungsanwendungen und insbesondere in Bearbeitungsstationen, wo verschiedene Brenn- und Kühlmodule verwendet werden, kann die Vermeidung der Kreuzkopplung ausschlaggebend sein. Durch die vorliegende Erfindung wird ein zentraler oder Hauptroboter ermöglicht, der mehr Funktionen und Freiheit hat als speziell ausgebildete Arme, aber einer, der nur Wafer handhabt, die kalt sind. Heiße Wafer können von dem CES-Roboter oder dem SI-Roboter überführt werden.
  • Diese Erfindung bringt Vorteile gegenüber dem Stand der Technik durch Minimieren der Verwendung des Hauptroboters. Probleme ergeben sich infolge der Verwendung des Hauptroboters in Beispielen, wo a) der Roboter mehr als einen Wafer auf einmal überführen muß, b) der Roboter eine nicht ausreichende Geschwindigkeit hat, um die Waferhandhabungsanforderung zu erfüllen und/oder c) der Roboter heiße und kalte Module nacheinander oder in nacheinander wechselnder Weise laden und entladen muß. Die Erfindung sorgt für das Zuliefern und die Entnahme von Wafern zwischen Waferbearbeitungsmodulen, während die Wafer rechtzeitig unter die verschiedenen Module transportiert werden. Die Vorrichtung verringert auch merklich oder eliminiert vollständig die Vorbehandlungs- und/oder Nachbehandlungszeit in kritischen Waferbearbeitungsmodulen. Ferner schaltet die Vorrichtung die thermische Kreuzkopplung aus oder reduziert sie wesentlich, wobei diese Kreuzkopplung durch Waferhandhabungseinrichtungen verursacht wird, einschließlich der thermischen Kreuzkopplung, die sich aus der Handhabung eines kalten Wafers mit einem heißen Gegenstand oder eines heißen Wafers mit einem kalten Gegenstand ergibt. Diese Merkmale ergeben einige der Vorteile dieser Erfindung und sind mindestens teilweise dadurch erhältlich, daß eine Waferbearbeitungsvorrichtung geschaffen wird, welche die Funktionen des Hauptroboters verringert oder minimiert.
  • 1 zeigt ein Beispiel einer Waferbearbeitungsvorrichtung 100, die mehrfache Waferbearbeitungsmodule enthält, die in einer Bearbeitungsstation 180 angeordnet sind, welche in der Vorrichtung 100 zentral angeordnet ist. Die Bearbeitungsstation 180 sieht eine Vielzahl von Waferbearbeitungsstapeln vor, die um einen Hauptroboter 150 herum angeordnet sind. Die Vielzahl von Stapeln weist einen ersten Stapel 105, einen zweiten Stapel 115, einen dritten Stapel 125 und einen vierten Stapel 135 auf. Jeder Stapel bzw. Stapelspeicher kann eine Vielzahl von Waferbearbeitungsmodulen für verschiedene Funktionen einschließen. Während vier Stapel von Waferbearbeitungsmodulen bei diesem besonderen Beispiel gezeigt sind, welche in einem hexagonalen Muster um den Hauptroboter 150 herum gruppiert sind, und zwar an einer Hauptroboterstation 151 (zwei der sechs Facetten sind unbenutzt), können auch mehr oder weniger Stapel vorgesehen werden (und sie können auch in anderen polygonalen Mustern gruppiert sein). Der Hauptroboter 150 ist zwischen all den Stapeln schwenkbar, um Wafer von irgendeinem Modul in irgendeinen Stapel zu einem beliebigen anderen Modul in irgendeinem anderen Stapel zu überführen. Eine CES-Station 165 befindet sich neben der Bearbeitungsstation 180 der Vorrichtung und enthält einen CES- Roboter 160 sowie eine Vielzahl von Kassettenenden 175. Vorzugsweise ist der CES-Roboter 160 schwenkbar oder anderweitig drehbar, während er mit linearer Unabhängigkeit versehen ist, um längs der CES-Station 165 zu traversieren. Auf diese Weise kann der CES-Roboter 160 Waferbearbeitungsmodule in dem zweiten Stapel 115 ansteuern, um Wafer zwischen der Bearbeitungsstation 180 und der Vielzahl von Kassetten in der CES-Station 165 zu überführen. Eine Stepperschnittstelle 145 befindet sich neben der Bearbeitungsstation 180 und schließt einen SI-Roboter 140 und einen Puffer 155 ein. Der Puffer 155 sorgt für eine Pufferzone für Wafer, die zu der Stepperschnittstelle 145 hin und von dieser her überführt werden, wenn ein Puffern während eines besonderen Herstellungsschrittes (Routine) notwendig ist. Der SI-Roboter 140 kann Waferbearbeitungsmodule ansteuern, die in dem vierten Stapel 135 enthalten sind, um Wafer zwischen der Bearbeitungsstation 180 und der Stepperschnittstelle 145 zu übertragen. Vorzugsweise ist der SI-Roboter 140 schwenkbar oder anderweitig drehbar, während er mit linearer Unabhängigkeit versehen ist, um längs der Stepperschnittstelle bis zur Pufferzone zu traversieren. Auf diese Weise kann der SI-Roboter 140 Waferbearbeitungsmodule in der Stepperschnittstelle 145 und dem zweiten Stapel 135 ansteuern, um Wafer zwischen der Bearbeitungsstation 180, der Stepperschnittstelle 145 oder direkt zum Stepper zu übertragen. Ein (nicht gezeigter) Stepper kann an der Stepperschnittstelle 145 angekoppelt sein.
  • In 2 ist ein schematisches Diagramm eines Beispieles einer Stapelanordnung gezeigt, die in 1 ausgetauscht werden kann. Gemäß Darstellung kann eine Vielzahl von Bearbeitungsmodulen 184(a)184(h) in einer Stapelkonfiguration 135 in einer beliebigen gewünschten Ordnung angeordnet sein. Eine beliebige Anzahl unterschiedlicher Arten von Bearbeitungsmodulen 184 kann in einem gegebenen Stapel 135 eingeschlossen sein, wenn es erwünscht ist oder wie der Auftrag für die Bearbeitung ist. Der Stapel 135 der 2 ist einer, der verschiedene Wärmemodule 184(a)184(h) ausrichtet. Gemäß Darstellung sorgen irgendwelche dieser Module für einen bidirektionalen oder multidirektionalen Zugang zu dem Modul 184 selbst. Der Stapel 135 ist mit der SI-Station 145 gekoppelt. Ein Ladeteil 182 erlaubt es dem SI-Roboter 140, das Modul 184(a) anzusteuern, um einen Wafer zu laden und zu entladen. Die Zugangsöffnung 183 erlaubt dem Hauptroboter 150, das Modul 184(a) zu laden und zu entladen.
  • 3 veranschaulicht ein Waferablaufdiagramm für das in 1 gezeigte Beispiel. Ein Wafer kann anfänglich von der CES-Station 165 durch einen CES-Roboter zu einem oder mehreren Dampfhauptmodulen in einem Überführungsbetrieb transportiert werden, der mit der Zahl 1 in einem Kreis bezeichnet ist. Der CES-Roboter 160 überführt den Wafer zu einer oder mehreren Dampfhauptkühlplatten in einem Übergang, der mit der Zahl 2 in einem Kreis bezeichnet ist. Der Wafer kann danach von dem Hauptroboter 150 zu einem oder mehreren Photolackbeschichtungsmodulen in einem Übergang bewegt werden, der mit der Nummer 3 im Kreis bezeichnet ist. Von dort kann der Wafer zu einem oder mehreren Weichbrennmodulen (SB) bewegt werden, wie mit der Zahl 4 im Kreis bezeichnet ist. Der SI-Roboter 140 bewegt dann den Wafer zu einem oder mehreren Weichbrennkühlplattenmodulen, wie durch die Zahl 5 im Kreis bezeichnet ist. Der Wafer kann dann durch einen Stepperschnittstellenabschnitt 145 unter Verwendung des SI-Roboters 140 zu dem (nicht ge zeigten) Stepper oder zu der Pufferzone in einem Übergang bewegt werden, der mit der Zahl 6 im Kreis bezeichnet ist.
  • 3 zeigt auch den lithographisch belichteten Wafer, der von dem Stepperschnittstellenabschnitt 145 zu einem oder mehreren Nachbelichtungsbrennmodulen von dem SI-Roboter 140 in einem Übergang transportiert werden kann, wie durch die Zahl 1 in einem Kasten bezeichnet ist. Wenn der Wafer zur Pufferzone überführt wird, dann bewegt der SI-Roboter 140 den Wafer von dem Puffer 155 zu einem oder mehreren PEB-Modulen. Der Wafer kann dann von dem SI-Roboter 140 zu einem oder mehreren Kühlplattenmodulen mit Nachbelichtungsbrennen in einem Übergang transportiert werden, der mit der Zahl 2 in einem Kasten bezeichnet ist. Von dort kann der Wafer auf ein oder mehrere Entwicklungsmodule in einem Übergang transferiert werden, welcher durch die Zahl 3 in einem Kasten bezeichnet ist, wobei der Hauptroboter 150 verwendet wird. Nach dem Entwicklermodul kann der Wafer zu einem oder mehreren Hartbrennmodulen (HB) bewegt werden und dann zu einem oder mehreren Kühlplattenmodulen mit Hartbrennen in Übergängen bewegt werden, die mit der Zahl 6 in einem Kasten bezeichnet sind.
  • Aus der oben beschriebenen Anordnung erkennt man, daß die Greifer des Hauptroboters 150 nur kalte Wafer aufgreifen. Hierdurch wird die thermische Kreuzkopplung eliminiert. Der Wafer wird dann unter Verwendung des CES-Roboters 160 zu einer oder mehreren Kassetten überführt, wie im Kasten 6 bezeichnet ist.
  • Die Bearbeitungsvorrichtung 100, wie sie in 1 beschrieben ist, kann durch Hinzufügen zusätzlicher Bearbeitungsstationen nächst der Station 180 der 4 ausgedehnt werden. Somit wird die Station 180 in 1 die Bearbeitungsstation 280 der 4. Dieses System mit mehr als einer Bearbeitungsstation ist in 4 gezeigt.
  • 4 veranschaulicht eine Bearbeitungsvorrichtung 200 mit einer ersten Bearbeitungsstation 280 und einer zweiten Bearbeitungsstation 280a. Die erste Bearbeitungsstation 280 ist mit einem ersten Hauptroboter 250 ausgestattet, und die zweite Bearbeitungsstation 280a ist mit einem zweiten Hauptroboter 250a ausgestattet. Die Hauptroboter 250 und 250a an den jeweiligen Hauptroboterstationen 251 bzw. 251(a) sind schwenkbare Greif- und Plazierroboter mit der Fähigkeit der vertikalen Bewegung. Jede Bearbeitungsstation 280, 280a weist einen ersten Waferbearbeitungsstapel 205, 205a, einen zweiten Waferbearbeitungsstapel 215, 215a, einen dritten Waferbearbeitungsstapel 225, 225a und einen vierten Waferbearbeitungsstapel 235, 235a auf, wobei jeder Waferbearbeitungsstapel eine Vielzahl von Waferbearbeitungsmodulen enthält. Alle Waferbearbeitungsmodule jeder Bearbeitungsstation sind für den Hauptroboter dieser Bearbeitungsstation zugänglich bzw. ansteuerbar. Eine Vielzahl von Kassettenenden 275 ist längs eines Endes in Richtung der Vorrichtung 200 bei einem CES-Abschnitt 260 ausgerichtet.
  • Ohne Begrenzung auf irgendeinen besonderen Leistungsindikator oder diagnostischen Identifikator können bevorzugte Ausführungsformen der Erfindung einzeln durch Prüfen der Gegenwart der Roboterverwendung identifiziert werden. Der Test für die Gegenwart einer Roboterüberauslastung kann ohne übermäßiges Experimentieren durch die Verwendung eines einfachen und herkömmlichen Roboterstillstandexperiments ausgeführt werden. Wenn ein gegebener Roboter nicht ungenutzt ist, dann werden Nachbehandlungszeiten analysiert. Unterden anderen Wegen auf der Suche nach Ausführungsformen mit der Eigenschaft der minimalen Vorbearbeitungs- und/oder Nachbearbeitungszeit kann die Zielsuche zu der nächsten bevorzugten Ausführungsform hin auf dem Minimieren der Vorbearbeitungs- und/oder Nachbearbeitungsvariationszeit basiert werden.
  • Praktische Anwendungen der Erfindung
  • Eine praktische Anwendung der Erfindung, die innerhalb der Technologie von Wert ist, ist die Halbleiterwaferbearbeitung. Ferner ist die Erfindung in Verbindung mit nicht-lithographischer Waferbearbeitung (wie sie zwecks Herstellung photoelektrischer Zellen benutzt wird) oder dergleichen nützlich. Es gibt praktisch zahllose Verwendungen für die Erfindung, die alle im einzelnen hier nicht dargelegt zu werden brauchen..
  • Vorteile der Erfindung
  • Ein Systemaufbau für die Waferbearbeitung, welchen eine Ausführungsform der Erfindung veranschaulicht, kann kosteneffektiv und aus mindestens den folgenden Gründen vorteilhaft sein. Die Erfindung hilft, die Roboterüberverwendung bzw. -überauslastung zu reduzieren. Die Erfindung hilft, die Schwankung in der Vorbearbeitungs- und/oder Nachbearbeitungszeit zu reduzieren. Die Erfindung hilft auch, die absoluten Vorbearbeitungs- und/oder Nachbearbeitungszeiten zu reduzieren, die zu einer gegebenen Folge von Bearbeitungsmodulen gehören.
  • Alle die beschriebenen Ausführungsformen der Erfindung, die hier dargelegt sind, können ohne übermäßiges Experimentieren realisiert und praktiziert werden. Obwohl die beste Betriebsart zur Ausführung der Erfindung, die von den Erfindern in Erwägung gezogen ist, oben dargelegt ist, ist die Praxis der Erfindung auf diese nicht beschränkt.
  • Die einzelnen Bestandteile brauchen nicht aus den beschriebenen Materialien hergestellt zu sein, sondern können aus praktisch beliebigen geeigneten Materialien hergestellt sein. Obwohl das hier beschrieben Waferbearbeitungssystem ein körperlich separates Modul sein kann, wird weiterhin festgestellt, daß das Waferbearbeitungssystem in die Vorrichtung, zu der es gehört, integriert sein kann.
  • Es versteht sich, daß verschiedene Hinzufügungen, Modifikationen und Umordnungen der Merkmale der Erfindung ohne Abweichung aus dem Umfang des zugrundeliegenden erfinderischen Konzepts, wie in den anliegenden Ansprüchen definiert ist, vorgenommen werden können.

Claims (3)

  1. Vorrichtung (200) zur Bearbeitung von Halbleiterwafern mit: einer ersten Waferbearbeitungsstation (280), die aufweist: eine erste Hauptwafertransporteinrichtung (250) für die Behandlung von Halbleiterwafern in der ersten Halbleiterbearbeitungsstation (280) und eine erste Vielzahl von Waferbearbeitungsstapeln (205, 215, 225, 235), welche Bearbeitungsmodule (184) enthalten, die in einer polygonalen Anordnung um die erste Hauptwafertransporteinrichtung (250) angeordnet sind; einer zweiten Waferbearbeitungsstation (280a), die neben der ersten Waferbearbeitungsstation (280) angeordnet ist und aufweist: eine zweite Hauptwafertransporteinrichtung (250a) für die Behandlung von Halbleiterwafern in der zweiten Waferbearbeitungsstation (280a); und eine zweite Vielzahl von Waferbearbeitungsstapeln (205a, 215a, 225a, 235a), welche Bearbeitungsmodule (184) enthalten, die in einer polygonalen Anordnung um die zweite Hauptwafertransporteinrichtung (250a) angeordnet sind, einer Kassettenendstation (165) zum Speichern von Halbleiterwafern und mit einer Wafertransporteinrichtung (160) mit Kassette für das Transportieren von Halbleiterwafern zwischen einem Waferbearbeitungsstapel (205, 205a, 215, 215a, 225, 225a, 235, 235a) in einer Waferbearbeitungsstation (280, 280a) und der Kassettenendstation (165); und einem Stepperschnittstellenabschnitt (145) mit einer Stepperwafertransporteinrichtung (140) zum Transportieren von Halbleiterwafern zwischen einem Waferbearbeitungsstapel (205, 205a, 215, 215a, 225, 225a, 235, 235a) in einer Waferbearbeitungsstation (280, 280a) und der Stepperschnittstelle (145), dadurch gekennzeichnet, daß die Kassetten-Waferfransporteinrichtung (160) in Funktion ist, um Zugang zu Bearbeitungsmodulen (184) in jeder Waferbearbeitungsstation (280, 280a) zu erhalten, und die Stepperwafertransporteinrichtung (140) in Funktion ist, um Zugang zu Bearbeitungsmodulen (184) in jeder Waferbearbeitungsstation (280, 280a) zu erhalten.
  2. Halbleiterwafervorrichtung (200) nach Anspruch 1, wobei jede der ersten und zweiten Waferbearbeitungsstationen (280, 280a) vier Waferbearbeitungsstapel (205, 205a, 215, 215a, 225, 225a, 235, 235a) aufweist, die um die erste bzw. zweite Hauptwafertransporteinrichtungen (250, 250a) angeordnet sind.
  3. Halbleiterwafervorrichtung (200) nach Anspruch 2, wobei die polygonale Anordnung jeder entsprechenden Waferbearbeitungsstation (280, 280a) einen hexagonalen Aufbau mit sechs zu gänglichen Seitenabschnitten vorsieht, wobei die vier Waferbearbeitungsstapel (205, 205a, 215, 215a, 225, 225a, 235, 235a) vier der sechs zugänglichen Seitenabschnitte in der hexagonalen Anordnung besitzen.
DE69928126T 1998-12-30 1999-12-02 Vorrichtung zur scheibenbehandlung Expired - Lifetime DE69928126T2 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US223111 1998-12-30
US09/223,111 US6616394B1 (en) 1998-12-30 1998-12-30 Apparatus for processing wafers
PCT/US1999/028753 WO2000041222A1 (en) 1998-12-30 1999-12-02 Apparatus for processing wafers

Publications (2)

Publication Number Publication Date
DE69928126D1 DE69928126D1 (de) 2005-12-08
DE69928126T2 true DE69928126T2 (de) 2006-07-13

Family

ID=22835091

Family Applications (1)

Application Number Title Priority Date Filing Date
DE69928126T Expired - Lifetime DE69928126T2 (de) 1998-12-30 1999-12-02 Vorrichtung zur scheibenbehandlung

Country Status (9)

Country Link
US (2) US6616394B1 (de)
EP (1) EP1142002B1 (de)
JP (3) JP4384817B2 (de)
KR (1) KR100567857B1 (de)
AT (1) ATE308798T1 (de)
AU (1) AU1933900A (de)
DE (1) DE69928126T2 (de)
SG (1) SG119193A1 (de)
WO (1) WO2000041222A1 (de)

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6616394B1 (en) * 1998-12-30 2003-09-09 Silicon Valley Group Apparatus for processing wafers
DE10143722C2 (de) * 2001-08-31 2003-07-03 Infineon Technologies Ag Verfahren und Vorrichtung zur Sortierung von Wafern
US7059817B2 (en) * 2001-11-29 2006-06-13 Axcelis Technologies, Inc. Wafer handling apparatus and method
US7022436B2 (en) 2003-01-14 2006-04-04 Asml Netherlands B.V. Embedded etch stop for phase shift masks and planar phase shift masks to reduce topography induced and wave guide effects
JP2004257980A (ja) * 2003-02-27 2004-09-16 Mire Kk 半導体素子テスト用ハンドラ
US7699021B2 (en) 2004-12-22 2010-04-20 Sokudo Co., Ltd. Cluster tool substrate throughput optimization
US7396412B2 (en) 2004-12-22 2008-07-08 Sokudo Co., Ltd. Coat/develop module with shared dispense
US7819079B2 (en) 2004-12-22 2010-10-26 Applied Materials, Inc. Cartesian cluster tool configuration for lithography type processes
US7651306B2 (en) 2004-12-22 2010-01-26 Applied Materials, Inc. Cartesian robot cluster tool architecture
US7798764B2 (en) 2005-12-22 2010-09-21 Applied Materials, Inc. Substrate processing sequence in a cartesian robot cluster tool
KR100621775B1 (ko) * 2005-04-15 2006-09-15 삼성전자주식회사 기판 세정장치
US7489982B2 (en) * 2006-09-15 2009-02-10 Wafertech, Llc Method and software for conducting efficient lithography WPH / lost time analysis in semiconductor manufacturing
US7738987B2 (en) * 2006-11-28 2010-06-15 Tokyo Electron Limited Device and method for controlling substrate processing apparatus
US7694688B2 (en) 2007-01-05 2010-04-13 Applied Materials, Inc. Wet clean system design
US7950407B2 (en) * 2007-02-07 2011-05-31 Applied Materials, Inc. Apparatus for rapid filling of a processing volume
US20080279658A1 (en) * 2007-05-11 2008-11-13 Bachrach Robert Z Batch equipment robots and methods within equipment work-piece transfer for photovoltaic factory
US20080292433A1 (en) * 2007-05-11 2008-11-27 Bachrach Robert Z Batch equipment robots and methods of array to array work-piece transfer for photovoltaic factory
US20080279672A1 (en) * 2007-05-11 2008-11-13 Bachrach Robert Z Batch equipment robots and methods of stack to array work-piece transfer for photovoltaic factory
US8322300B2 (en) * 2008-02-07 2012-12-04 Sunpower Corporation Edge coating apparatus with movable roller applicator for solar cell substrates
KR101958874B1 (ko) * 2008-06-04 2019-03-15 가부시키가이샤 에바라 세이사꾸쇼 기판처리장치, 기판처리방법, 기판 파지기구, 및 기판 파지방법
US8535968B1 (en) * 2011-01-31 2013-09-17 Miasole High speed aligning of photovoltaic cells
US9606532B2 (en) * 2014-01-29 2017-03-28 Taiwan Semiconductor Manufacturing Company Limited Method and manufacturing system

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1009462A (en) * 1963-03-05 1965-11-10 Short Brothers & Harland Ltd Improvements in apparatus for classifying and otherwise handling articles
US4917556A (en) * 1986-04-28 1990-04-17 Varian Associates, Inc. Modular wafer transport and processing system
US5177514A (en) 1988-02-12 1993-01-05 Tokyo Electron Limited Apparatus for coating a photo-resist film and/or developing it after being exposed
KR970003907B1 (ko) 1988-02-12 1997-03-22 도오교오 에레구토론 가부시끼 가이샤 기판처리 장치 및 기판처리 방법
US5202716A (en) * 1988-02-12 1993-04-13 Tokyo Electron Limited Resist process system
US5061144A (en) 1988-11-30 1991-10-29 Tokyo Electron Limited Resist process apparatus
JP2849458B2 (ja) * 1990-07-03 1999-01-20 キヤノン株式会社 半導体装置の製造方法および製造装置
NL9200446A (nl) 1992-03-10 1993-10-01 Tempress B V Inrichting voor het behandelen van microschakeling-schijven (wafers).
DE634699T1 (de) 1993-07-16 1996-02-15 Semiconductor Systems Inc Gruppiertes fotolithografisches System.
US5766824A (en) 1993-07-16 1998-06-16 Semiconductor Systems, Inc. Method and apparatus for curing photoresist
US5626675A (en) 1993-11-18 1997-05-06 Tokyo Electron Limited Resist processing apparatus, substrate processing apparatus and method of transferring a processed article
JPH07245285A (ja) 1994-03-03 1995-09-19 Dainippon Screen Mfg Co Ltd 基板処理装置
JPH07297258A (ja) 1994-04-26 1995-11-10 Tokyo Electron Ltd 板状体の搬送装置
JP3213748B2 (ja) * 1994-08-04 2001-10-02 東京エレクトロン株式会社 処理システム
US5826129A (en) 1994-06-30 1998-10-20 Tokyo Electron Limited Substrate processing system
JP3033009B2 (ja) 1994-09-09 2000-04-17 東京エレクトロン株式会社 処理装置
JP3592771B2 (ja) * 1994-12-07 2004-11-24 大日本スクリーン製造株式会社 基板処理装置
TW297910B (de) 1995-02-02 1997-02-11 Tokyo Electron Co Ltd
JP3069945B2 (ja) 1995-07-28 2000-07-24 東京エレクトロン株式会社 処理装置
US5788868A (en) 1995-09-04 1998-08-04 Dainippon Screen Mfg. Co., Ltd. Substrate transfer method and interface apparatus
TW317644B (de) 1996-01-26 1997-10-11 Tokyo Electron Co Ltd
JP3571471B2 (ja) 1996-09-03 2004-09-29 東京エレクトロン株式会社 処理方法,塗布現像処理システム及び処理システム
JP3779393B2 (ja) * 1996-09-06 2006-05-24 東京エレクトロン株式会社 処理システム
TW353772B (en) 1996-09-09 1999-03-01 Tokyo Electron Ltd Workpiece relaying apparatus
US5928389A (en) 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
SG67433A1 (en) 1996-11-01 1999-09-21 Tokyo Electron Ltd Method and apparatus for processing substrate
TW353777B (en) 1996-11-08 1999-03-01 Tokyo Electron Ltd Treatment device
JPH10144599A (ja) 1996-11-11 1998-05-29 Tokyo Electron Ltd 回転処理装置およびその洗浄方法
JP3579228B2 (ja) 1997-01-24 2004-10-20 大日本スクリーン製造株式会社 基板処理装置
TW389949B (en) 1997-01-30 2000-05-11 Tokyo Electron Ltd Method and apparatus for coating and development of the photo-resist solution
JP4080021B2 (ja) * 1997-03-19 2008-04-23 大日本スクリーン製造株式会社 基板処理装置
JPH10261692A (ja) * 1997-03-19 1998-09-29 Dainippon Screen Mfg Co Ltd 基板処理装置
JP3600710B2 (ja) * 1997-05-28 2004-12-15 大日本スクリーン製造株式会社 基板処理装置
JPH113851A (ja) 1997-06-11 1999-01-06 Tokyo Electron Ltd 液処理装置及び液処理方法
US6616394B1 (en) * 1998-12-30 2003-09-09 Silicon Valley Group Apparatus for processing wafers

Also Published As

Publication number Publication date
DE69928126D1 (de) 2005-12-08
SG119193A1 (en) 2006-02-28
JP4823012B2 (ja) 2011-11-24
US7004708B2 (en) 2006-02-28
KR100567857B1 (ko) 2006-04-05
ATE308798T1 (de) 2005-11-15
JP4384817B2 (ja) 2009-12-16
EP1142002A1 (de) 2001-10-10
JP2002534802A (ja) 2002-10-15
JP2007088487A (ja) 2007-04-05
US20040107014A1 (en) 2004-06-03
KR20010103544A (ko) 2001-11-23
US6616394B1 (en) 2003-09-09
EP1142002B1 (de) 2005-11-02
WO2000041222A1 (en) 2000-07-13
JP2008022023A (ja) 2008-01-31
AU1933900A (en) 2000-07-24

Similar Documents

Publication Publication Date Title
DE69928126T2 (de) Vorrichtung zur scheibenbehandlung
DE69128861T2 (de) Vakuumsbehandlungsvorrichtung und Reinigungsverfahren dafür
DE69735514T2 (de) Vorrichtung zur Behandlung von Halbleiterscheiben
DE60214763T2 (de) Waferhandhabungsvorrichtung und verfahren dafür
DE69804944T2 (de) Gerät für die verarbeitung von halbleiterscheiben
DE69404778T2 (de) Thermische Behandlungsmodul für Beschichtungs/Entwicklungseinrichtung für Substrat
DE69028440T2 (de) Mehrkammer-Vakuumvorrichtung mit abgestuften Vakuumniveaus zur Behandlung von Halbleiterwafern
DE69525881T2 (de) Hochgeschwidigkeitsbewegung für Arbeitsstücke in Vakuum-Behandlung
DE102007035839B4 (de) Verfahren und System zum lokalen Aufbewahren von Substratbehältern in einem Deckentransportsystem zum Verbessern der Aufnahme/Abgabe-Kapazitäten von Prozessanlagen
DE3722080C2 (de)
DE69624051T2 (de) Eine Vorrichtung zur Photolackbearbeitung und ein Verfahren zur Photolackbearbeitung
DE69030330T2 (de) Plättchenbehandlungssystem
DE4422683C2 (de) Verfahren und Vorrichtung zum automatischen Ordnen von Losen für eine Fertigungsstraße
DE68928460T2 (de) Maskenkassetten-Ladevorrichtung
DE69403890T2 (de) Zusammenbau-/Ausbau-Einrichtung für abdichtbaren unter Druck stehenden Transportbehälter
DE69424016T2 (de) Poliergerät
DE69735042T2 (de) Bearbeitungsvorrichtung zur Bearbeitung von Objekten
DE69736378T2 (de) Vorrichtung und Verfahren zur Reinigung von zu verarbeitenden Objekte
DE3028283A1 (de) Fertigungssystem
EP1743736B1 (de) Werkzeugmaschine und Verfahren mit zumindest einer Bearbeitungseinheit und zwei Werkstücktransportvorrichtungen
CH652376A5 (de) Vorrichtung zum zufuehren von mikroplaettchen zu einer behandlungsstation und eine anlage zum einfuehren von mikroplaettchen in eine vakuumkammer.
DE69312554T2 (de) Robotereinrichtung
DE69719151T2 (de) Verarbeitungssystem
DE112006000995T5 (de) Halbleiterpackungs-Aufnahmevorrichtung
DE3787245T2 (de) Maskenwechselsystem hoher Geschwindigkeit.

Legal Events

Date Code Title Description
8327 Change in the person/name/address of the patent owner

Owner name: ASML HOLDING, N.V., VELDHOVEN, NL

8364 No opposition during term of opposition