DE68921501T2 - Verfahren zur Herstellung einer Waferhalteeinrichtung für Anlagen zur schnellen thermischen Behandlung. - Google Patents

Verfahren zur Herstellung einer Waferhalteeinrichtung für Anlagen zur schnellen thermischen Behandlung.

Info

Publication number
DE68921501T2
DE68921501T2 DE68921501T DE68921501T DE68921501T2 DE 68921501 T2 DE68921501 T2 DE 68921501T2 DE 68921501 T DE68921501 T DE 68921501T DE 68921501 T DE68921501 T DE 68921501T DE 68921501 T2 DE68921501 T2 DE 68921501T2
Authority
DE
Germany
Prior art keywords
substrate
silicon carbide
recess
graphite
wafer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE68921501T
Other languages
English (en)
Other versions
DE68921501D1 (de
Inventor
Michael Brant Miller
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Air Products and Chemicals Inc
Original Assignee
Air Products and Chemicals Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Air Products and Chemicals Inc filed Critical Air Products and Chemicals Inc
Application granted granted Critical
Publication of DE68921501D1 publication Critical patent/DE68921501D1/de
Publication of DE68921501T2 publication Critical patent/DE68921501T2/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4581Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber characterised by material of construction or surface finish of the means for supporting the substrate
    • H01L21/205
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/50Substrate holders
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/01Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes on temporary substrates, e.g. substrates subsequently removed by etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/02Containers; Seals
    • H01L23/04Containers; Seals characterised by the shape of the container or parts, e.g. caps, walls
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S117/00Single-crystal, oriented-crystal, and epitaxy growth processes; non-coating apparatus therefor
    • Y10S117/90Apparatus characterized by composition or treatment thereof, e.g. surface finish, surface coating
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/13Hollow or container type article [e.g., tube, vase, etc.]
    • Y10T428/131Glass, ceramic, or sintered, fused, fired, or calcined metal oxide or metal carbide containing [e.g., porcelain, brick, cement, etc.]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/21Circular sheet or circular blank
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24479Structurally defined web or sheet [e.g., overall dimension, etc.] including variation in thickness
    • Y10T428/24488Differential nonuniformity at margin
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24479Structurally defined web or sheet [e.g., overall dimension, etc.] including variation in thickness
    • Y10T428/24562Interlaminar spaces
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24628Nonplanar uniform thickness material
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24628Nonplanar uniform thickness material
    • Y10T428/24653Differential nonplanarity at margin
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/30Self-sustaining carbon mass or layer with impregnant or other layer

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Description

  • Diese Erfindung ist auf ein Verfahren zur Herstellung einer Halterung für einen Wafer und Haltebefestigungen gerichtet, die eine geringe effektive thermische Masse aufweisen, wie sie im Anspruch 1 definiert ist.
  • Anlagen für schnelle thermische Verfahren fanden früher Anwendung bei der Herstellung von integrierten elektronischen Schaltungen bei Verfahren, die keine chemische Reaktion einbeziehen, wie etwa eine thermische Erhitzung bzw. Glühung in Vorrichtungen für schnelles thermisches Glühen (RTA), die von Firmen hergestellt werden, wie etwa der Varian Associates, Inc., der A.G. Associates und der Eaton Corporation. Die Halbleiterwafer werden in einer RTA von Raumtemperatur bis zu ungefähr 400 bis 1.400 ºC in Zeitintervallen in der Größenordnung von einigen Sekunden behandelt. Die Fähigkeit solcher RTA-Systeme zum schnellen Heizen und Kühlen eines Wafers von Raumtemperatur zu derart hohen Temperaturen in Perioden von bis zu 10 sek, machen diese für die Verwendung bei chemische Reaktionsverfahren, wie etwa der epitaktischen Filmabscheidung, attraktiv. Auf solche Verfahren wird als schnelle thermische Verfahrenssysteme (RTP) Bezug genommen. Beispiele derartiger RTP-Systeme, die gegenwärtig für die amorphe polykristalline oder epitaktische Abscheidung verkauft werden, werden durch ASM Epi hergestellt.
  • Die Befestigungshalterungen für Wafer und andere Bestandteile von RTA-Anlagen bestanden in der Vergangenheit aus Quarz, das inhärent Probleme mit seinem Gebrauch mit sich bringt. Quarz ist in RTP-Systemen unzulänglich, wegen der Auswirkungen, die die Reaktionsumgebung des Verfahrens auf Quarz hat, und wegen der thermischen Unverträglichkeit mit Materialien, die auf Quarzoberflächen abgeschieden werden. Es besteht ein Bedürfnis nach Halterungsbefestigungen für Wafer zur Verwendung in RTP-Systemen, die aus anderen Materialien als Quarz bestehen.
  • Das US-Patent Nr. 4,481,406 offenbart, daß Halterungskonstruktionen für Wafer, die aus nicht leitenden, wärmebeständigen Materialien, wie etwa einem keramischen Material ausgebildet sind, in RTP-Anlagen zweckmäßig sind. Waferhalterungen, beispielsweise aus Siliciumcarbid, das auf Graphit chemisch dampfabgeschieden ist, stellen die nötige Verträglichkeit mit Verfahrensumgebungen zur Verfügung und widerstehen dem thermischen Schock von schnellen Temperaturänderungen von Raumtemperatur auf 1.400 ºC. Bei einem typischen Verfahren zur chemischen Dampfabscheidung (CVD), wird das Graphit oder ein anderes Substrat, das zu be schichten ist, in einem zweckmäßigen Reaktor erhitzt und anschließend wird eine gasförmige Silicium enthaltende Reaktionsmischung in den Reaktor eingeleitet. Die gasförmige Reaktionsmischung reagiert an der Oberfläche des Substrats, um eine kohärente und anhaftende Schicht der gewünschten Beschichtung auszubilden. Durch Variieren der gasförmigen Reaktionsmischung und anderer CVD-Verfahrensparameter, können verschiedene Arten von abgeschiedenen Beschichtungen hergestellt werden. Der Nachteil der Verwendung eines CVD-beschichteten Substrats ist, daß die thermische Masse aller dieser Haltekonstruktionen nach dem Stand der Technik relativ hoch gegenüber der des Wafers ist, so daß sie die schnellen Temperaturänderungen durchlaufen, die in RTA- und RTP-Systemen benötigt werden. Dies macht derartige Anwendungen für solche CVD-beschichteten Substrate uninteressant. Das US-Patent Nr. 4,417,347 offenbart die Verwendung von Metallmembranen bzw. -häutchen aus Tantal und Molybdän, die die erforderliche niedrige thermische Masse aufweisen, um die schnelle Erhitzung und Abkühlung von RTA-Systemen zu vereinfachen. Jedoch sind derartige Materialien in RTP-Systemen wegen der Reaktion zwischen den Metallen und dem Silicium nicht zweckmäßig, welche bei dem Epitaxiverfahren Metallsilicide ausbilden. Derartige Reaktionen erzeugen unvertretbare Probleme mit korpuskularen Partikeln in dem Epitaxisystem und die Verschmutzung der zu verarbeitenden Wafer.
  • Aus dem früheren Patentdokument EP-A-0 309272, das ein Prioritätsdatum vor dem Prioritätsdatum der vorliegenden Patentanmeldung hat und nach dem Prioritätsdatum der vorliegenden Patentanmeldung veröffentlicht worden ist, ist ein Verfahren zur Herstellung von Probenhaltern und Gehäusen für Wafer bekannt, die zur Verarbeitung von Halbleitermaterialien aus Siliciumcarbid hergestellt ist. Das Wafergehäuse ist aus Graphit hergestellt und wird dem Schritt ausgesetzt, der eine Hochtemperatur-Siliciummonoxidquelle verwendet, um das Graphitmaterial vollständig in Siliciumcarbidmaterial umzuwandeln.
  • Das sich ergebende Wafergehäuse ist gegenüber reaktiven Umgebungen, die zur Herstellung von Halbleitermaterialien erforderlich sind, beständig.
  • Es ist eine Aufgabe der vorliegenden Erfindung, ein Verfahren zur Herstellung einer Waferhalterung vorzuschlagen, welches die Nachteile der Waferhalterung nach dem Stand der Technik soweit als möglich ausräumt, und insbesondere dazu in der Lage ist, eine Waferhalterung herzustellen, die eine niedrige thermische Masse aufweist.
  • Diese Aufgabe wird mittels des Verfahrens gelöst, das durch die in dem Patentanspruch 1 beanspruchten Merkmale gelöst wird. Vorteilhafte Varianten des Verfahrens gemäß der Erfindung werden durch die in den abhängigen Ansprüchen 2 bis 6 beanspruchten Merkmale definiert.
  • Die Waferhalterungen, die mittels des Verfahrens gemäß der vorliegenden Erfindung hergestellt sind, reagieren nicht mit der Verfahrensumgebung und weisen eine thermische Masse auf, die eher mit der der Wafer vergleichbar ist, die sie tragen.
  • Dies ergibt einen Größenordnungunterschied in der thermischen Ansprechzeit des RTP-Systems, das die Halterung nach dieser Erfindung verwendet, im Vergleich mit CVD-beschichteten Substrathalterungen oder -trägern nach dem Stand der Technik.
  • Die gemäß der Erfindung hergestellte Befestigung bzw. Halterung weist eine ebene Oberfläche auf, die eine Ausnehmung enthält, um den Wafer aufzunehmen, wobei die ebene Oberfläche im wesentlichen aus chemisch dampfabgeschiedenem (CVD) Siliciumcarbid besteht. Bei einer Ausführungsform des Verfahrens nach der vorliegenden Erfindung wird eine Seitenwand hergestellt, die mit der ebenen Oberfläche verbunden ist und eine Höhe aufweist, die größer ist als die Tiefe der Ausnehmung. Die Seitenwand kann sich sowohl über die als auch unter der ebenen Oberfläche erstrecken. Die Seitenwand kann senkrecht zu der ebenen Oberfläche sein, oder entweder einen spitzen oder stumpfen Winkel dazu ausbilden. Seine genaue Ausbildung wird von der bestimmten RTA oder RTP abhängen, in der sie angeordnet wird. Um eine zusätzliche Stabilität zur Verfügung zu stellen, wird ein peripherer kreisförmiger Abschnitt oder Ringraum bzw. Kreisring an der Seitenwand angebracht. Um zusätzliche konstruktive Steifigkeit zur Verfügung zu stellen, wenn diese für eine gegebene Anwendung erforderlich ist, weist der Kreisring bzw. Ringraum auf Graphit abgeschiedenes Silicumcarbid auf. In jenen Fällen, in denen eine derartige Steifigkeit nicht erforderlich ist, kann das Graphit, wie nachfolgend ausgeführt, entfernt werden, um den Ringraum bzw. Kreisring hohl zurückzulassen. Wenn es dem Graphit erlaubt wird, in dem Kreisring zu verbleiben, ist es wesentlich, daß der Ringraum bzw. Kreisring ausreichend weit von der Ausnehmung entfernt ist, wie dieses durch die Konstruktion der Reaktionskammer und durch den dünnen Querschnitt der ebenen Oberfläche oder Membran aus Siliciumcarbid zwischen dem Kreisring bzw. Ringraum und dem Wafer ermöglicht wird. Das bedeutet, daß der Halbleiterwafer, der gemäß der Erfindung zu prozessieren ist, von jeglicher bemerkenswerter thermischen Masse des Halters zu trennen ist, und daß das notwendige schnelle thermische Ansprechen des Wafers zur Verfügung gestellt wird.
  • Das Verfahren zur Herstellung der Befestigung weist die Formgebung eines Graphitblocks oder anderer zweckmäßiger Substratmaterialien zu der gewünschten Konstruktion für eine bestimmte RTA- oder RTP-Anwendung auf. Die minimale Formgebung erfordert, daß das Substrat eine ebene Oberfläche umfaßt, die die Ausnehmung enthält. Es werden Mittel zur Maskierung jener Bereiche des Substrats zur Verfügung gestellt, die keine CVD-Beschichtung aus Siliciumcarbid erhalten sollen, d.h., jene Bereiche, in denen das Substrat entfernt werden soll. Derartige Mittel zur Maskierung werden zumindest in jenen Bereichen auf der Rückseite der Befestigung benachbart zu der Waferausnehmung zur Verfügung gestellt. Das Substrat wird dann mit chemisch dampfabgeschiedenem Siliciumcarbid in einer Weise versehen, wie sie zum Beispiel in der US-A-4,861,533 ausgeführt ist, die ein nachveröffentlichtes Patent des Anmelders dieser Anmeldung ist, und welche der EP-A-0 208 280 entspricht, die das Prioritätsdatum vor dem Prioritätsdatum der vorliegenden Anmeldung hat und nach dem Prioritätsdatum der vorliegenden Anmeldung veröffentlicht worden ist. Das Silicium enthaltende Gas, das zur Ausbildung der Siliciumcarbidbeschichtung verwendet wird, kann aus der Gruppe, die aus Siliciumtetrachlorid, Silan, Chlorsilan, Trichlorsilan, Methyltrichlorsilan und Dimethyldichlorsilan besteht, ausgewählt werden. Wenn Siliciumtetrachlorid, Silan, Dichlorsilan oder Trichlorsilan verwendet wird, ist es erforderlich, eine Quelle aus Kohlenstoff zuzuführen, um Siliciumcarbid zu erzeugen. Die Kohlenstoffquelle kann irgendein Kohlenwasserstoff sein, vorzugsweise aliphatische Kohlenwasserstoffe, wie etwa Paraffine, Alkene, Alkyne mit niedrigem Molekulargewicht, die 1 bis 6 Kohlenstoffatome aufweisen, und Aromate und andere Kohlenwasserstoffe, die 1 bis 6 Kohlenstoffatome aufweisen, die kein Sauerstoff enthalten. Besonders zweckmäßige Beispiele enthalten Methan, Ethan, Propan, Butan, Methylen, Ethylen, Propylen, Butylen bzw. Buten, Acetylen und Benzol.
  • Das Substrat wird in dem Bereich unmittelbar benachbart zu der Waferausnehmung entfernt, welcher Bereich nicht mit Siliciumcarbid beschichtet worden ist. Dies kann durch maschinelle bzw. spanabhebende Bearbeitungstechniken, Sandstrahlen, Fräsen, Auflösen oder Verbrennen vorgenommen werden. Das japanische Kokai-Patent Nr. 62-124909, veröffentlicht am 6. Juni 1987, beschreibt verschiedene Verfahren zum Entfernen von Substratmaterial in dem Verfahren zur Herstellung von keramischen Reaktionsröhren, die bei der Halbleiterherstellung verwendet werden, in der das Substrat zunächst einer chemischen Dampfabscheidung mit Siliciumcarbid unterzogen wird, und das Substrat anschließend durch Verbrennung oder Ablösung mit einer zweckmäßigen Säure oder einem Lösungsmittel entfernt wird.
  • Für ein vollständigeres Verständnis der vorliegenden Erfindung sind die beigefügten Zeichnungen vorgesehen, in welchen:
  • Figur 1 eine perspektivische Ansicht einer Ausführungsform der Waferhalterung ist, die mittels des Verfahrens nach der vorliegenden Erfindung hergestellt ist, und die eine massive Ausnehmung aufweist;
  • Figur 2 ist eine querschnittliche Ansicht der Halterung, angelegt entlang 2-2;
  • Figur 3 ist eine querschnittliche Ansicht einer anderen Ausführungsform der Halterung, die einen kreisförmigen Abschnitt aufweist, hergestellt durch eine Variante des Verfahrens gemäß der Erfindung;
  • Figur 4 ist eine querschnittliche Ansicht gemäß Figur 3, angeordnet innerhalb einer typischen RTP-Einheit;
  • Figur 5 ist eine perspektivische Ansicht einer noch anderen Ausführungsform der Halterung, die gemäß dem Verfahren nach der vorliegenden Erfindung hergestellt ist, die eine Ausnehmung mit einer Öffnung durch diese mit einem ausgeschnittenen Abschnitt aufweist, der den Ringraum bzw. Kreisring zeigt
  • Figur 6 ist eine querschnittliche Ansicht des Substrats, das zu der Gestalt ausgeformt worden ist, die zur Ausbildung der Halterung nach Figur 5 verwendet wird; und
  • Figur 7 ist eine querschnittliche Ansicht von einer der beiden Masken, die zur Ausbildung der Halterung nach Figur 5 verwendet wird.
  • Nun bezugnehmend auf die Figuren 1 und 2 weist eine Halterung 1 für einen Wafer, bestehend aus CVD-Siliciumcarbid, eine obere ebene Oberfläche 2 und eine Seitenwand 3 auf, die jeweils eine Dicke in dem Bereich von ungefähr 0,038 cm bis ungefähr 0,0635 cm (0,015 bis ungefähr 0,025 Inch) aufweist. Die ebene Oberfläche 2 weist eine massive bzw. größere Ausnehmung 4 auf, die eine Dicke hat, die im wesentlichen die gleiche ist, wie die Dicke der Halterung 1 und weist eine innere Einfassung 6 und eine äußere Einfassung 7 bzw. Rand 7 auf.
  • Die Figur 3 stellt eine andere Befestigungshalterung dar, die gemäß der vorliegenden Erfindung hergestellt ist, in der die Halterung 10 eine obere ebene Oberfläche 12, eine Seitenwand 13 und einen hohlen kreisförmigen Abschnitt oder Ringraum 14 aufweist. Die ebene Oberfläche 12 weist eine innere Einfassung 15, eine äußere Einfassung bzw. Rand 16 und eine massive Ausnehmung 17 auf, die eine äußere Kante 19, die von der inneren Einfassung 15 der ebenen Oberfläche 12 durch die Dicke des CVD-SiC getrennt ist. Die Breite des hohlen Ringraumes 14, von der inneren Seitenwand 20 zu der Seitenwand 13, beträgt weniger als 50 % der Entfernung von der inneren Einfassung 15 zu der äußeren Einfassung 16 der ebenen Oberfläche 12, so daß der Ringraum 14 ausreichend von der äußeren Kante 19 der Ausnehmung 17 entfernt ist, um die notwendige schnelle Ansprechzeit für die thermischen Änderungen des Wafers und der Halterung 10 in den RTA- oder RTP-Systemen zu ermöglichen.
  • Die Figur 4 stellt eine Halterung 1 dar, die innerhalb eines typischen RTP-Systems 21 angeordnet ist, die Quellen 22 und 24 als schwarze Körper aufweist, so daß die Seitenwand 34 zu den Seitenwänden des Systems 21 paßt. Es ist ersichtlich, daß die genaue Konstruktion der Halterung 1 von der Konfiguration des bestimmten ausgewählten RTP- oder RTA-Systems abhängen wird.
  • Die Figur 5 stellt noch eine andere Ausführungsform dar, die gemäß dieser Erfindung hergestellt ist, in welcher die Halterung 30 eine ebene Oberfläche 32 aufweist, die eine innere Einfassung bzw. Rand 33 und eine äußere Einfassung bzw. Rand 34, eine vertikale Seitenwand 35, eine offene kreisförmige Ausnehmung 36 mit einer äußeren Kante 37 und einer oberen inneren Kante 38 und einer unteren inneren Kante 39, aufweist. Die Dicke der Seitenwand 40, die zwischen der inneren Kante 33 und der äußeren Kante 37 ausgebildet ist, und die Dicke der Seitenwand 41, die zwischen der inneren Kante 38 und der unteren inneren Kante 39 ausgebildet ist, ist äquivalent zu der Tiefe der CVD-Abscheidung aus Siliciumcarbid. Die ausgeschnittene Ansicht zeigt den Ringraum 42 mit der Siliciumcarbid beschichteten inneren vertikalen Wand 44 und der unteren Oberfläche 45 auf dem Graphitkern 46. Die Breite des Ringraums 42 von der beschichteten Seitenwand 35 zu der inneren Wand 44 beträgt näherungsweise 1/3 der Breite der ebenen bzw. planaren Oberfläche 32 von der inneren Einfassung 33 und der äußeren Einfassung 34, so daß der Ringraum 42 ausreichend weit von der Ausnehmung 36 entfernt ist, um das optimale thermische Ansprechen für Wafer auf der kreisförmigen Ausnehmung 36 zu ergeben.
  • Die Waferhalterung, die einfach eine ebene Oberfläche mit einer Ausnehmung aufweist, die nicht gezeigt wird, weist die schnellste Ansprechszeit der Halterungen nach der vorliegenden Erfindung auf. Jedoch ist eine äußere Positionierungshalterung erforderlich, um eine Halterung in einer RTP zu montieren. Die in Figur 5 gezeigte Halterung 30 ist der Typ von Halterung, die eine sehr schnelle Ansprechzeit, wie auch die Stabilität und Steifigkeit aufweist, ohne eine externe Positionierungsbefestigung bzw. -halterung zu erfordern. Der Nachteil der Halterung 30 ist, daß die Kontrolle der Temperatur des zugänglichen unteren Abschnitts des Wafers, der in der kreisförmigen Ausnehmung 36 montiert ist, geringer ist, als in Halterungen, in denen die Ausnehmung massiv ist, wie bei der in Figur 3 gezeigten Halterung 10.
  • Die Figuren 6 und 7 stellen die Gegenstände dar, die zur Herstellung der Halterung 30 verwendet werden. Eine Scheibe 50, die ein zweckmäßiges Substratmaterial 51 aufweist, z.B. Graphit, hat eine obere ebene Oberfläche 52, eine äußere Seitenwand 53 und eine untere ebene Oberfläche 54. Der erste Schritt des Verfahrens ist es, die Scheibe 50 zu der gewünschten Konfiguration der Halterung 30 durch maschinelle bzw. spanabhebende Bearbeitung der oberen ebenen Oberfläche 52 auszuformen, um die obere Ausnehmung 55 in dem Zentrum der Scheibe 50 gleichmäßig beabstandet zu seiner Peripherie auszubilden. Die obere Ausnehmung 55 wird maschinell bearbeitet, um die untere Ausnehmung 56 auszubilden. Der nächste Schritt ist es, die Scheibe 50 umzukippen bzw. umzudrehen, und die untere ebene Oberfläche 54 maschinell herzustellen bzw. spanabhebend herzustellen, um den unteren zylindrischen Raum 57 auszubilden, der die Seitenwand 58 und die untere Oberfläche 59 aufweist. Die Maske 60, die die Oberfläche mit größerem Durchmesser 61 und mit kleinerem Durchmesser 63, wie in Figur 7 gezeigt, aufweist, ist konstruiert bzw. bemessen, um die untere Oberfläche 59 während des CVD-Beschichtungsschrittes nach dem Verfahren nach dieser Erfindung abzudecken bzw. zu maskieren, während die Beschichtung der Seitenwand 58 ermöglicht wird. Es ist kritisch, daß die Oberfläche 61 mit größerem Durchmesser der Maske 60 eben ist, und im wesentlichen den gleichen Durchmesser aufweist, wie der Durchmesser des zylindrischen Raumes 57, so daß die Oberfläche 61 mit größerem Durchmesser eine unmittelbare bzw. dichte Passung zu der Seitenwand 58 an der Verbindungsstelle bzw. Fuge 64 und mit der unteren Oberfläche 59 ausbildet. Die Abmessungen und die Oberfläche enden in der Oberfläche 63 mit kleineren Durchmessern, ausgenommen daß ihr Durchmesser bemerkenswert geringer ist, als der Durchmesser der Oberfläche 61 mit größerem Durchmesser. Eine andere nicht gezeigte Maske ist von ähnlicher Größe und Form wie die Maske 60, mit Ausnahme dessen, daß die Oberfläche dieser Maske mit größerem Durchmesser im wesentlichen der gleiche Durchmesser ist, wie der der unteren Ausnehmung 56, um so eine dichte Passung innerhalb der Wand 69 der unteren Ausnehmung 56 der Verbindungsstelle bzw. Fuge 71 und mit der unteren Oberfläche 70 auszubilden, um die untere Oberfläche 70 während der CVD- Beschichtung zu maskieren, während es den Seitenwänden 69 und 72 der Ausnehmungen 56 bzw. 55 ermöglicht wird, beschichtet zu werden.
  • Um die notwendige CVD-Beschichtung zur Verfügung zu stellen, werden die Scheibe 50 und die nicht gezeigte Maske zusammen mit der Maske 60 wie oben ausgeführt in einem zweckmäßigen CVD-Reaktor angeordnet. Ein Beispiel eines derartigen Reaktors wird in der Eingangsnummer 933,007, angemeldet am 20. November 1986, nun die oben Bezug genommene US-A-4,861,533 (720-P-US03526) und entsprechend zur EP-A-0 268 230 beschrieben und dargestellt. Eine gleichmäßige Dicke aus Siliciumcarbid wird chemisch auf der unteren ebenen Oberfläche 52, der äußeren Seitenwand 53, der unteren ebenen Oberfläche 54, der Seitenwand 58, der kreisförmigen Oberfläche 65, und den Wänden 69 und 72, wie auch den freiliegenden Oberflächen der nicht gezeigten Maske und der Maske 60, d.h. die nicht gezeigten Oberflächen mit kleinerem Durchmesser und 63 der geneigten nicht gezeigten Seitenwand und der 62, bis zu einer Dicke chemisch dampfabgeschieden, die der gewünschten Dicke der Halterung 1 gleich ist. Das Substrat wird aus dem CVD-Reaktor entfernt und die Masken werden von der Ausnehmung 55 und dem zylindrischen Raum 57 weggenommen. Zumindest ein wesentlicher Abschnitt des von der inneren Oberfläche 70 und der äußeren Oberfläche 59 nicht beschichteten und zu der inneren Seitenwand 58 benachbarten Graphits werden durch maschinelle bzw. spanabhebende Bearbeitung entfernt. Zum Beispiel wird die mit SiC beschichtete Scheibe 50 vom Zentrum der inneren Oberfläche 70 bis zur Wand 69 durch eine vertikale Fräsmaschine ausgebohrt bzw. ausgefräst. Anschließend wird eine Diamantschleifscheibe verwendet, um die Oberfläche der Siliciumcarbidbeschichtung benachbart zu der Verbindungsstelle 69 glatt zu schleifen bzw. zu polieren. Die beschichtete Scheibe 50 wird dann umgekippt bzw. umgedreht, und das Graphit in der oben unbeschichteten Oberfläche 59 wird bis auf einen Bruchteil eines Inches der Siliciumbeschichtung auf der kreisförmigen Oberfläche 65 gefräst und der verbleibende Bruchteil eines Inches wird durch Sandstrahlen entfernt, um den in Figur 5 gezeigten Träger bzw. Halterung 30 zu ergeben. Der Graphitkern 46 enthält in diesem Stadium des Verfahrens eine beschichtete Seitenwand, eine beschichtete innere Oberfläche 45 und einen teilweisen beschichteten Bereich zwischen der beschichteten Seitenwand 53 und der zumindest teilweise beschichteten inneren Wand 45. Der Träger 30 wird anschließend in dem Reaktor angeordnet, um einen zusätzlichen Bruchteil eines Inches aus Siliciumcarbid über der freigelegten Graphitoberfläche der inneren Oberfläche 70 aufzutragen. Nach der zweiten CVD- Beschichtung ist die innere Wand 45 leicht abgetreppt bzw. abgeschrägt, infolge des kombinierten Effekts der zweiten Beschichtung und der niedrigeren Gasdiffusion auf der inneren Oberfläche dichter bei der Rückseite der oberen ebenen Oberfläche 52. Die Tatsache, daß die Beschichtung aus SiC auf der inneren Wand 45 wegen des Herstellungsverfahrens einwärts abgestuft bzw. abgeschrägt ist, ist für die letztendliche Funktion der Halterung 30 nicht kritisch.
  • In der in Figur 5 gezeigten, gemäß der Erfindung hergestellten Ausführungsform 30 wird der Graphitkern durch Drehen bzw. Fräsen einer Reihe von Löchern in der inneren Wand 45 entfernt, und der Träger 30 wird dann in einem Ofen angeordnet und auf ungefähr 1.200 ºC erhitzt, um das in dem Graphitkern 46 zurückgebliebene Graphit auszubrennen. Wenn es als notwendig erachtet wird, kann die Halterung in den CVD-Reaktor zurückgebracht werden, um irgendwelche der Löcher zu überdecken.
  • Das folgende Beispiel beschreibt das voranstehende Verfahren zur Herstellung der Halterungen mittels des Verfahrens nach der vorliegenden Erfindung.
  • Beispiel 1
  • Drei aus SiC6-Graphit zusammengesetzte Bestandteile, geliefert durch Toyo Tanso Ltd., werden zu Formen hergestellt, die Figur 6 und zwei Masken in der Form von Figur 7 entsprechen. Die Bestandteile nach Figur 5 hatten näherungsweise 15,24 cm (6 Inch) Außendurchmesser und 1,27 cm (0,5 Inch) Dicke. Die Dicke der Seitenwand 58 des Raumes 56 war angenähert 0,635 cm (0,25 Inch), die Dicke der Wand 69 der Ausnehmung 68 und der Wand 72 der Ausnehmung 55 waren jeweils 0,064cm (0,025 Inch). Die drei Bestandteile aus SiC&sub6;-Graphit wurden vorausgehend bei 2.000 ºC mit Chlorgas in einem CVD-Reaktor gereinigt, der als Reinigungsbehältnis dient. Die drei Bestandteile wurden mit den zwei in Figur 7 dargestellten Masken in der Ausnehmung 68 und dem Raum 56 angeordnet, wie oben beschrieben ist. Die Anordnung wurde in einem CVD-Reaktor in Stellung gebracht und 0,064cm (0,025 Inch) SiC wurden auf ihren freiliegenden Oberflächen durch die Pyrolyse von Methyltrichlorsilan bei 1.250 bis 1.300 ºC abgeschieden.
  • Nachfolgend zu dem CVD-Abscheidungsschritt wurde die obere (nicht gezeigte) Maske entfernt, indem an einem einzigen Punkt ein Diamantschnitt an der Verbindungsstelle bzw. Fuge 71 gemacht wurde. Die untere Maske 60 wurde leicht entfernt, da dort im wesentlichen keine Beschichtung an der Verbindungsstelle 64 zwischen der Seitenwand 58 und der inneren Oberfläche 59 wegen der Diffusionsgrenzen während des Abscheidungsprozesses war. Ein Loch wurde durch den zentralen Bereich der Scheibe 50 mit im wesentlichen dem gleichen Durchmesser wie der Durchmesser der Ausnehmung 56 maschinell hergestellt. Die beschichtete Scheibe 50 wurde umgekippt bzw. gewendet und das unbeschichtete Graphit wurde auf innerhalb 1/16 Inch von dem SiC auf der Rückseite der oberen ebenen Oberfläche 52 und der kreisförmigen Oberfläche 65 maschinell bearbeitet. Das verbleibende Graphit wurde durch Sandstrahlen rückseitig der Scheibe 40 entfernt, was eine Halterung mit Seitenwand, oberer ebener Oberfläche und Ausnehmung zurückließ, die im wesentlichen ein Siliciumcarbid von 0,064 cm (0,025 Inch) mit einem zentralen offenen Bereich und mit einem Bereich mit Restgraphit, das nicht entfernt worden ist, umfaßt, das den Kreisring 46 ausbildet. Diese Konstruktion wurde dann in den CVD-Reaktor zurückgebracht und die freiliegende Graphitoberfläche der inneren Seitenwand des Kreisringes 46 wurde mit zusätzlichen 0,0178 cm (0,007 Inch) aus SiC beschichtet. Die sich ergebende Halterung 30, die in Figur 5 dargestellt ist, wurde aus dem Reaktor entnommen.
  • Es ist berechnet worden, daß die Halterung 30 eine Wärmekapazität von nur ungefähr 41,868 NmºC (10 KalorienºC) aufweist. Dies ist eine über eine Größenordnung geringere Wärmekapazität, als bei einer Halterung, die durch CVD- Beschichtung des Graphitsubstrats mit Siliciumcarbid hergestellt worden ist, deren Wärmekapazität zu ungefähr 473,11 NmºC (113 Kalorien/ºC) berechnet worden ist. Deshalb wird die Aufwärmrate des Trägers nach der vorliegenden Erfindung in einem gleichmäßigen Wärmefluß über 10 mal gleich dem von CVD-beschichteten Graphitträger nach dem Stand der Technik sein.
  • Beispiel 2
  • Ein Aluminiumsubstrat wird in der Form einer Scheibe im wesentlichen in der Form nach Figur 1 mit einer Ausnehmung in seiner oberen ebenen Oberfläche und einer Dicke hergestellt, die im wesentlichen die gleiche ist, wie die gewünschte Dicke der Seitenwand des Waferträgers. Das Aluminium wird auf sämtlichen Oberflächen mit einer Schlämme aus Graphitpulver in Wasser beschichtet, und das Pulver wird getrocknet. Das Substrat wird auf einer flachen bzw. ebenen, kreisförmigen Graphitplatte angeordnet, die einen etwas größeren Durchmesser aufweist als die Scheibe, welche dazu dient, um die Rückseite des Trägers vor der Abscheidung von SiC zu maskieren. Das Substrat auf der Platte wird dann in einen CVD-Reaktor gebracht und wird mit einer gleichmäßigen Beschichtung aus SiC beschichtet, die eine Dicke von ungefähr 50,8 (20) tausendstel eines cm (eines Inches) hat. Nach der Abscheidung wird ein Diamantschnitt an einem einzigen Puiikt an der Verbindungsstelle des Substrats mit der Graphitplatte vorgenommen, um das Substrat von der Platte zu entfernen. Das Substrat aus Aluminium, beschichtet mit Graphitpulver, weist einen höheren Koeffizienten der thermischen Ausdehnung auf als Siliciumcarbid und muß von der Beschichtung durch Kühlen, von der Abscheidungstemperatur weggeschrumpft werden. Die Beschichtung aus Graphitpulver wird dabei behilflich sein, die Haftung der SiC-Beschichtung an dem Substrat zu verhindern, und das Substrat kann leicht von dem Siliciumcarbidteil entfernt werden. Die untere Kante, an der der Schnitt an einem einzigen Punkt vorgenommen wird, wird mit einem Diamantschleifschritt eingeebnet und etwas an der unteren Oberfläche sandgestrahlt. Dies wird Graphitpulver entfernen und beseitigen. Sollte ein offener Ausnehmungsbereich wie in Figur 5 vorgesehen werden, um die thermische Masse in der Umgebung des Wafers weiter zu verringern, kann die Öffnung zu dem letztendlichen Teil mit Diamant maschinell bearbeitet oder geschliffen werden.

Claims (6)

1. Verfahren zur Herstellung einer Waferhalterung (1) mit geringer thermischer Masse, die eine ebene Oberfläche (2; 17) aufweist, die eine Ausnehmung (4; 17) für einen Wafer enthält, und im wesentlichen aus Siliciumcarbid besteht, welches aufweist:
(a) ein Substrat wird geformt, um eine gewünschte Konfiguration für die Befestigung (1) zur Verfügung zu stellen, die die ebene Oberfläche (2; 12; 52) enthält, die die Ausnehmung (4; 17; 56) beinhaltet;
(b) Mittel (60) zum Maskieren bzw. Abdecken irgendeines Bereichs (59) dem Substrats, in dem kein Siliciumcarbid erwünscht ist, werden installiert bzw. aufgestellt;
(c) eine im wesentlichen ebene äußere widerstandsfähige Beschichtung aus Siliciumcarbid wird durch chemische Dampfabscheidung vorgesehen;
(d) das Mittel (60) zum Maskieren wird entfernt; und
(e) zumindest der Abschnitt bzw. Teil des Substrats in der unmittelbaren Umgebung der Ausnehmung (4; 17; 56) wird entfernt.
2. Verfahren nach Anspruch 1, in dem irgendein Abschnitt des Substrats, der nach Schritt (d) verbleibt, mit Siliciumcarbid durch chemische Dampfabscheidung beschichtet wird.
3. Verfahren nach einem der Ansprüche 1 oder 2, in dem das Substrat Graphit ist.
4. Verfahren nach einem der Ansprüche 1 bis 3, in dem das Substrat Aluminium ist, welches eine äußere Lage aus Material enthält, welches die Adhäsion von Siliciumcarbid an dem Substrat verhindert.
5. Verfahren nach Anspruch 4, in dem das Material Graphitpulver ist.
6. Verfahren nach einem der Ansprüche 1 bis 5, in dem das Substrat Aluminium ist und das Aluminium mit einer wässrigen Aufschlämmung von Graphitpulver beschichtet und nachfolgend getrocknet wird.
DE68921501T 1988-03-31 1989-03-29 Verfahren zur Herstellung einer Waferhalteeinrichtung für Anlagen zur schnellen thermischen Behandlung. Expired - Fee Related DE68921501T2 (de)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US07/175,707 US4978567A (en) 1988-03-31 1988-03-31 Wafer holding fixture for chemical reaction processes in rapid thermal processing equipment and method for making same

Publications (2)

Publication Number Publication Date
DE68921501D1 DE68921501D1 (de) 1995-04-13
DE68921501T2 true DE68921501T2 (de) 1995-07-13

Family

ID=22641310

Family Applications (1)

Application Number Title Priority Date Filing Date
DE68921501T Expired - Fee Related DE68921501T2 (de) 1988-03-31 1989-03-29 Verfahren zur Herstellung einer Waferhalteeinrichtung für Anlagen zur schnellen thermischen Behandlung.

Country Status (5)

Country Link
US (1) US4978567A (de)
EP (1) EP0339279B1 (de)
JP (1) JPH01298715A (de)
KR (1) KR890015398A (de)
DE (1) DE68921501T2 (de)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10134900B4 (de) * 2001-07-18 2007-03-15 Infineon Technologies Ag Haltevorrichtung mit Diffusionssperrschicht für Halbleitereinrichtungen
EP2918702A1 (de) 2014-03-14 2015-09-16 Aixtron SE Beschichtetes Bauteil eines CVD-Reaktors und Verfahren zu dessen Herstellung

Families Citing this family (436)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0834187B2 (ja) * 1989-01-13 1996-03-29 東芝セラミックス株式会社 サセプタ
ES2085368T3 (es) * 1990-04-19 1996-06-01 Applied Materials Inc Sistema de calentamiento y de control de una placa de semi-conductor y modo de funcionamiento.
JP2725081B2 (ja) * 1990-07-05 1998-03-09 富士通株式会社 半導体装置製造用熱処理装置
US5444217A (en) * 1993-01-21 1995-08-22 Moore Epitaxial Inc. Rapid thermal processing apparatus for processing semiconductor wafers
US5820686A (en) * 1993-01-21 1998-10-13 Moore Epitaxial, Inc. Multi-layer susceptor for rapid thermal process reactors
US5580388A (en) * 1993-01-21 1996-12-03 Moore Epitaxial, Inc. Multi-layer susceptor for rapid thermal process reactors
US5538230A (en) * 1994-08-08 1996-07-23 Sibley; Thomas Silicon carbide carrier for wafer processing
US5514439A (en) * 1994-10-14 1996-05-07 Sibley; Thomas Wafer support fixtures for rapid thermal processing
US5443649A (en) * 1994-11-22 1995-08-22 Sibley; Thomas Silicon carbide carrier for wafer processing in vertical furnaces
WO1996020293A1 (de) * 1994-12-28 1996-07-04 Aixtron Gmbh Vorrichtung zum abscheiden von schichten
JPH0936049A (ja) * 1995-07-21 1997-02-07 Mitsubishi Electric Corp 気相成長装置およびこれによって製造された化合物半導体装置
US6086680A (en) * 1995-08-22 2000-07-11 Asm America, Inc. Low-mass susceptor
US5584936A (en) * 1995-12-14 1996-12-17 Cvd, Incorporated Susceptor for semiconductor wafer processing
US5837555A (en) * 1996-04-12 1998-11-17 Ast Electronik Apparatus and method for rapid thermal processing
US5837058A (en) * 1996-07-12 1998-11-17 Applied Materials, Inc. High temperature susceptor
KR100246963B1 (ko) * 1996-11-22 2000-03-15 윤종용 반도체 제조장치의 웨이퍼 홀더용 스테이지
US6214122B1 (en) * 1997-03-17 2001-04-10 Motorola, Inc. Rapid thermal processing susceptor
KR100481829B1 (ko) * 1997-05-30 2005-07-04 삼성전자주식회사 반도체스퍼터링설비의웨이퍼홀더링실드
US6325858B1 (en) * 1997-11-03 2001-12-04 Asm America, Inc. Long life high temperature process chamber
KR100660416B1 (ko) * 1997-11-03 2006-12-22 에이에스엠 아메리카, 인코포레이티드 개량된 저질량 웨이퍼 지지 시스템
EP1036407A1 (de) 1997-11-03 2000-09-20 ASM America, Inc. Verarbeitungsverfahren für wafer mit kleinmassigem träger
US6048403A (en) * 1998-04-01 2000-04-11 Applied Materials, Inc. Multi-ledge substrate support for a thermal processing chamber
KR100605097B1 (ko) * 1999-04-15 2006-07-26 삼성전자주식회사 반도체 제조 장치의 서셉터
US6169244B1 (en) * 1999-05-21 2001-01-02 Moore Epitaxial, Inc. Thermocouple sheath cover
US6440264B1 (en) 1999-09-20 2002-08-27 Litton Systems, Inc. Method and system for manufacturing a photocathode
US6673198B1 (en) * 1999-12-22 2004-01-06 Lam Research Corporation Semiconductor processing equipment having improved process drift control
US6563215B1 (en) 2000-01-10 2003-05-13 Micron Technology, Inc. Silicon carbide interconnect for semiconductor components and method of fabrication
US7033920B1 (en) 2000-01-10 2006-04-25 Micron Technology, Inc. Method for fabricating a silicon carbide interconnect for semiconductor components
US6975030B1 (en) 2000-01-10 2005-12-13 Micron Technology, Inc. Silicon carbide contact for semiconductor components
US7166165B2 (en) 2000-04-06 2007-01-23 Asm America, Inc. Barrier coating for vitreous materials
US6634882B2 (en) 2000-12-22 2003-10-21 Asm America, Inc. Susceptor pocket profile to improve process performance
JP2002313888A (ja) * 2001-04-09 2002-10-25 Ibiden Co Ltd 半導体用治具
JP4116449B2 (ja) * 2001-05-18 2008-07-09 マットソン サーマル プロダクツ ゲゼルシャフト ミット ベシュレンクテル ハフツング 対象物の操作のための操作装置
DE10156441A1 (de) * 2001-05-18 2002-11-21 Mattson Thermal Products Gmbh Vorrichtung zur Aufnahme von scheibenförmigen Objekten und Vorrichtung zur Handhabung von Objekten
DE10125675C1 (de) * 2001-05-25 2002-10-02 Schott Glas Verfahren zur Aufheizung von Substraten
CN101866806B (zh) * 2001-06-01 2012-04-25 东京毅力科创株式会社 等离子体处理装置
US6811040B2 (en) 2001-07-16 2004-11-02 Rohm And Haas Company Wafer holding apparatus
US7033445B2 (en) * 2001-12-27 2006-04-25 Asm America, Inc. Gridded susceptor
US7070660B2 (en) * 2002-05-03 2006-07-04 Asm America, Inc. Wafer holder with stiffening rib
US6825051B2 (en) * 2002-05-17 2004-11-30 Asm America, Inc. Plasma etch resistant coating and process
DE10234694A1 (de) * 2002-07-30 2004-02-12 Infineon Technologies Ag Verfahren zum Oxidieren einer Schicht und zugehörige Aufnamevorrichtung für ein Substrat
US7256375B2 (en) * 2002-08-30 2007-08-14 Asm International N.V. Susceptor plate for high temperature heat treatment
US20050170314A1 (en) * 2002-11-27 2005-08-04 Richard Golden Dental pliers design with offsetting jaw and pad elements for assisting in removing upper and lower teeth and method for removing teeth utilizing the dental plier design
US6709267B1 (en) 2002-12-27 2004-03-23 Asm America, Inc. Substrate holder with deep annular groove to prevent edge heat loss
US6825123B2 (en) * 2003-04-15 2004-11-30 Saint-Goban Ceramics & Plastics, Inc. Method for treating semiconductor processing components and components formed thereby
KR100527672B1 (ko) * 2003-07-25 2005-11-28 삼성전자주식회사 서셉터 및 이를 포함하는 증착 장치
US7181132B2 (en) 2003-08-20 2007-02-20 Asm International N.V. Method and system for loading substrate supports into a substrate holder
US6974781B2 (en) * 2003-10-20 2005-12-13 Asm International N.V. Reactor precoating for reduced stress and uniform CVD
US7501370B2 (en) * 2004-01-06 2009-03-10 Saint-Gobain Ceramics & Plastics, Inc. High purity silicon carbide wafer boats
US20060035449A1 (en) * 2004-08-10 2006-02-16 Yoo Woo S Method of forming ultra shallow junctions
US20060060145A1 (en) 2004-09-17 2006-03-23 Van Den Berg Jannes R Susceptor with surface roughness for high temperature substrate processing
US20060065634A1 (en) * 2004-09-17 2006-03-30 Van Den Berg Jannes R Low temperature susceptor cleaning
KR101332739B1 (ko) 2005-01-18 2013-11-25 에이에스엠 아메리카, 인코포레이티드 박막 성장용 반응 시스템
US20060249079A1 (en) * 2005-05-09 2006-11-09 Ping-Hua Yao Wafer heater and wafer chuck including the same
US20070209247A1 (en) * 2006-01-17 2007-09-13 Raisner David H Souvenir display device
JP2008021888A (ja) * 2006-07-14 2008-01-31 Nec Electronics Corp 治具装置
US7874726B2 (en) * 2007-05-24 2011-01-25 Asm America, Inc. Thermocouple
US8034410B2 (en) 2007-07-17 2011-10-11 Asm International N.V. Protective inserts to line holes in parts for semiconductor process equipment
US20090025636A1 (en) * 2007-07-27 2009-01-29 Applied Materials, Inc. High profile minimum contact process kit for hdp-cvd application
JP2009065129A (ja) * 2007-08-09 2009-03-26 Jfe Techno Research Corp ウェーハ用フレーム
US20090052498A1 (en) * 2007-08-24 2009-02-26 Asm America, Inc. Thermocouple
US7807222B2 (en) * 2007-09-17 2010-10-05 Asm International N.V. Semiconductor processing parts having apertures with deposited coatings and methods for forming the same
US7993057B2 (en) * 2007-12-20 2011-08-09 Asm America, Inc. Redundant temperature sensor for semiconductor processing chambers
TWI421965B (zh) * 2007-12-20 2014-01-01 Saint Gobain Ceramics 處理半導體製程元件之方法及其形成之元件
US7946762B2 (en) * 2008-06-17 2011-05-24 Asm America, Inc. Thermocouple
JP5164221B2 (ja) * 2008-06-26 2013-03-21 Jfeテクノリサーチ株式会社 ウェーハ用フレーム
US8801857B2 (en) 2008-10-31 2014-08-12 Asm America, Inc. Self-centering susceptor ring assembly
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8262287B2 (en) * 2008-12-08 2012-09-11 Asm America, Inc. Thermocouple
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US9297705B2 (en) * 2009-05-06 2016-03-29 Asm America, Inc. Smart temperature measuring device
US8382370B2 (en) * 2009-05-06 2013-02-26 Asm America, Inc. Thermocouple assembly with guarded thermocouple junction
US8100583B2 (en) * 2009-05-06 2012-01-24 Asm America, Inc. Thermocouple
JP2011029217A (ja) * 2009-07-21 2011-02-10 Okawa Kanagata Sekkei Jimusho:Kk ウェーハ用ホルダ
JP5225228B2 (ja) * 2009-07-28 2013-07-03 株式会社大川金型設計事務所 ウェーハ用ホルダ
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5225236B2 (ja) * 2009-09-01 2013-07-03 株式会社大川金型設計事務所 ウェーハ用ホルダ
WO2011049938A2 (en) * 2009-10-20 2011-04-28 Saint-Gobain Ceramics & Plastics, Inc. Microelectronic processing component having a corrosion-resistant layer, microelectronic workpiece processing apparatus incorporating same, and method of forming an article having the corrosion-resistant layer
JP2011225949A (ja) * 2010-04-21 2011-11-10 Ibiden Co Ltd 炭素部品および炭素部品の製造方法
US20120149210A1 (en) * 2010-07-30 2012-06-14 Colvin Ronald L Systems, apparatuses, and methods for chemically processing substrates using the coanda effect
USD666458S1 (en) 2010-09-10 2012-09-04 Seana L. Montgomery Bowl with utensil retention feature
US9885123B2 (en) 2011-03-16 2018-02-06 Asm America, Inc. Rapid bake of semiconductor substrate with upper linear heating elements perpendicular to horizontal gas flow
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US8695838B1 (en) 2012-06-06 2014-04-15 Seana L. Montgomery Bowl with utensil holder
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
USD763658S1 (en) 2012-09-26 2016-08-16 Whirlpool Corporation Colored medallion
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9314854B2 (en) 2013-01-30 2016-04-19 Lam Research Corporation Ductile mode drilling methods for brittle components of plasma processing apparatuses
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8893702B2 (en) 2013-02-20 2014-11-25 Lam Research Corporation Ductile mode machining methods for hard and brittle components of plasma processing apparatuses
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
USD702188S1 (en) 2013-03-08 2014-04-08 Asm Ip Holding B.V. Thermocouple
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9716010B2 (en) 2013-11-12 2017-07-25 Globalfoundries Inc. Handle wafer
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
JP6298403B2 (ja) * 2014-12-26 2018-03-20 グローバルウェーハズ・ジャパン株式会社 シリコンウェーハ熱処理用支持治具
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
TWD178698S (zh) * 2016-01-08 2016-10-01 ASM知識產權私人控股有&#x9 用於半導體製造設備的反應器外壁
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
USD801242S1 (en) * 2016-03-03 2017-10-31 Samsung Display Co., Ltd. Automotive steering wheel
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10475627B2 (en) * 2016-03-25 2019-11-12 Lam Research Corporation Carrier ring wall for reduction of back-diffusion of reactive species and suppression of local parasitic plasma ignition
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
RU173825U1 (ru) * 2017-03-17 2017-09-13 Федеральное государственное унитарное предприятие "Ростовский-на-Дону научно-исследовательский институт радиосвязи" (ФГУП "РНИИРС") Подложкодержатель для вакуумного напыления с секторальным формированием токопроводящего слоя по периферии полупроводниковой пластины при изготовлении СВЧ монолитных интегральных схем
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10872803B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10872804B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (de) 2018-02-14 2020-11-18 ASM IP Holding B.V. Verfahren zum abscheiden eines ruthenium-haltigen films auf einem substrat durch ein zyklisches abscheidungsverfahren
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
USD932844S1 (en) * 2018-10-17 2021-10-12 Kyle Falkenberg Coaster
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
CN111446185A (zh) 2019-01-17 2020-07-24 Asm Ip 控股有限公司 通风基座
USD920936S1 (en) 2019-01-17 2021-06-01 Asm Ip Holding B.V. Higher temperature vented susceptor
USD914620S1 (en) 2019-01-17 2021-03-30 Asm Ip Holding B.V. Vented susceptor
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11404302B2 (en) 2019-05-22 2022-08-02 Asm Ip Holding B.V. Substrate susceptor using edge purging
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11764101B2 (en) 2019-10-24 2023-09-19 ASM IP Holding, B.V. Susceptor for semiconductor substrate processing
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
USD1030844S1 (en) * 2020-03-27 2024-06-11 Sony Corporation Lens cap for camera
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
USD1031676S1 (en) 2020-12-04 2024-06-18 Asm Ip Holding B.V. Combined susceptor, support, and lift system
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
USD998074S1 (en) * 2020-12-22 2023-09-05 P&P Imports LLC Chipping target
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
USD1030687S1 (en) * 2022-05-31 2024-06-11 Asm Ip Holding B.V. Susceptor

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3529988A (en) * 1966-09-23 1970-09-22 Teeg Research Inc Method for making hyperstoichiometric carbide compositions
US4093201A (en) * 1973-05-07 1978-06-06 Siemens Aktiengesellschaft Disc support structure
DE3037199C2 (de) * 1980-10-02 1983-03-10 Kernforschungsanlage Jülich GmbH, 5170 Jülich Verfahren zum Herstellen von Formkörpern aus Siliziumkarbid oder Formkörpern aus Graphit oder graphitähnlichem Werkstoff mit einer aus Siliziumkarbid bestehenden Oberfläche
US4830919A (en) * 1981-04-07 1989-05-16 Ltv Aerospace & Defense Company Composition for forming a protective coating on carbon-carbon substrates and article
US4417347A (en) * 1981-05-12 1983-11-22 Varian Associates, Inc. Semiconductor processor incorporating blackbody radiation source with constant planar energy flux
US4499354A (en) * 1982-10-06 1985-02-12 General Instrument Corp. Susceptor for radiant absorption heater system
US4481406A (en) * 1983-01-21 1984-11-06 Varian Associates, Inc. Heater assembly for thermal processing of a semiconductor wafer in a vacuum chamber
US4512825A (en) * 1983-04-12 1985-04-23 The United States Of America As Represented By The Secretary Of The Navy Recovery of fragile layers produced on substrates by chemical vapor deposition
US4522149A (en) * 1983-11-21 1985-06-11 General Instrument Corp. Reactor and susceptor for chemical vapor deposition process
US4633051A (en) * 1983-11-23 1986-12-30 Advanced Semiconductor Materials America, Inc. Stable conductive elements for direct exposure to reactive environments
JPS6169116A (ja) * 1984-09-13 1986-04-09 Toshiba Ceramics Co Ltd シリコンウエハ−の連続cvdコ−テイング用サセプター
US4767666A (en) * 1985-05-23 1988-08-30 The Regents Of The University Of California Wafer base for silicon carbide semiconductor device
JPS62124909A (ja) * 1985-11-26 1987-06-06 三井造船株式会社 セラミツクス製管状部材の製造方法
US4816338A (en) * 1986-06-10 1989-03-28 Denki Kagaku Kogyo Kabushiki Kaisha Glassy carbon-coated article
US4772498A (en) * 1986-11-20 1988-09-20 Air Products And Chemicals, Inc. Silicon carbide capillaries
EP0309272A3 (de) * 1987-09-25 1990-03-07 Southtech, Inc. Verfahren und Vorrichtung zur Herstellung von Substrathaltern und Scheibenhorden aus Siliciumcarbid für die Behandlung von Halbleiterwerkstoffen

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10134900B4 (de) * 2001-07-18 2007-03-15 Infineon Technologies Ag Haltevorrichtung mit Diffusionssperrschicht für Halbleitereinrichtungen
EP2918702A1 (de) 2014-03-14 2015-09-16 Aixtron SE Beschichtetes Bauteil eines CVD-Reaktors und Verfahren zu dessen Herstellung
DE102014103505A1 (de) * 2014-03-14 2015-09-17 Aixtron Se Beschichtetes Bauteil eines CVD-Reaktors und Verfahren zu dessen Herstellung

Also Published As

Publication number Publication date
EP0339279A2 (de) 1989-11-02
EP0339279B1 (de) 1995-03-08
US4978567A (en) 1990-12-18
EP0339279A3 (en) 1990-10-31
JPH01298715A (ja) 1989-12-01
KR890015398A (ko) 1989-10-30
DE68921501D1 (de) 1995-04-13

Similar Documents

Publication Publication Date Title
DE68921501T2 (de) Verfahren zur Herstellung einer Waferhalteeinrichtung für Anlagen zur schnellen thermischen Behandlung.
US5082359A (en) Diamond films and method of growing diamond films on nondiamond substrates
DE69006839T2 (de) Flächenselektive chemische Dampfphasenausscheidung.
DE19649508B4 (de) Halter für Halbleiterplatten
DE112010004736B4 (de) Aufnahmefür cvd und verfahren zur herstellung eines films unterverwendung derselben
DE69530801T2 (de) Montageelement und methode zum klemmen eines flachen, dünnen und leitfähigen werkstückes
DE60123813T2 (de) Sperrschicht für glasartige werkstoffe
DE69305238T2 (de) Verfahren zur Herstellung von grossen Monokristallen
DE202016003843U1 (de) Selbstzentrierendes Trägersystem für chemische Gasphasenabscheidung
DE68920417T2 (de) Verfahren zur Herstellung eines kohlenstoffhaltigen Films.
DE60006095T2 (de) Reaktorkammer für einen epitaxiereaktor
EP3102717B1 (de) Schutzschicht für pecvd-boote aus graphit
DE102017222279A1 (de) Verfahren zum Abscheiden einer epitaktischen Schicht auf einer Vorderseite einer Halbleiterscheibe und Vorrichtung zur Durchführung des Verfahrens
KR100231742B1 (ko) 와이어 인발 다이 블랭크 및 이를 구비한 와이어 인발 다이
DE60002173T2 (de) Verfaren und reaktor zur züchtung von silizium-karbid einkristallung durch chemische dampfabscheidung
DE10100424A1 (de) Korrosions- und abnutzungsbeständige, dünne Diamantfilmbeschichtung, Herstellungsverfahren und Anwendungen dafür
EP1127176B1 (de) Vorrichtung zum herstellen und bearbeiten von halbleitersubstraten
DE3026030C2 (de) Vorrichtungsteil für die Halbleitertechnik, Verfahren und Vorrichtung zu dessen Herstellung
DE3541962C2 (de) Dampfabscheidungsvorrichtung und deren Verwendung zur Herstellung epitaktischer Schichten
EP3475472B1 (de) Verfahren und vorrichtung zur herstellung von beschichteten halbleiterscheiben
CA1125028A (en) Method of obtaining polycrystalline silicon and workpiece useful therein
DE69721957T2 (de) Tiegel aus pyrolytischem Bornitrid zur Verwendung in der Molekularstrahlepitaxie
DE3889735T2 (de) Chemischer dampfniederschlagsreaktor und dessen verwendung.
DE19528329A1 (de) Röntgenstrahlendurchlässiges Schichtmaterial, Verfahren zu seiner Herstellung sowie deren Verwendung
DE3752208T2 (de) Durch Mikrowellen gesteigertes CVD-Verfahren und -Gerät

Legal Events

Date Code Title Description
8364 No opposition during term of opposition
8339 Ceased/non-payment of the annual fee