CN203481190U - 喷头电极、喷头电极组件以及导热和导电的衬垫组 - Google Patents

喷头电极、喷头电极组件以及导热和导电的衬垫组 Download PDF

Info

Publication number
CN203481190U
CN203481190U CN201190000716.1U CN201190000716U CN203481190U CN 203481190 U CN203481190 U CN 203481190U CN 201190000716 U CN201190000716 U CN 201190000716U CN 203481190 U CN203481190 U CN 203481190U
Authority
CN
China
Prior art keywords
electrode
inch
ring
inches
pod
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
CN201190000716.1U
Other languages
English (en)
Inventor
安东尼·德拉列拉
普拉蒂克·曼克迪
迈克尔·C·凯洛格
拉金德·丁德萨
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Application granted granted Critical
Publication of CN203481190U publication Critical patent/CN203481190U/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01RELECTRICALLY-CONDUCTIVE CONNECTIONS; STRUCTURAL ASSOCIATIONS OF A PLURALITY OF MUTUALLY-INSULATED ELECTRICAL CONNECTING ELEMENTS; COUPLING DEVICES; CURRENT COLLECTORS
    • H01R13/00Details of coupling devices of the kinds covered by groups H01R12/70 or H01R24/00 - H01R33/00
    • H01R13/02Contact members
    • H01R13/20Pins, blades, or sockets shaped, or provided with separate member, to retain co-operating parts together
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making
    • Y10T29/49117Conductor or circuit manufacturing
    • Y10T29/49204Contact or terminal manufacturing
    • Y10T29/49208Contact or terminal manufacturing by assembling plural parts
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/53Means to assemble or disassemble
    • Y10T29/5313Means to assemble electrical device
    • Y10T29/532Conductor
    • Y10T29/53204Electrode

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

本实用新型提供一种用于在平行板电容式耦合等离子体处理室中的喷头电极组件的喷头电极。所述喷头电极组件包括支撑板、多个双头螺柱/承窝组件和凸轮轴、定位环和多个定位销,所述支撑板具有在其上表面和下表面之间延伸的气体喷射孔。所述喷头电极包括其下表面上的等离子体暴露表面,其上表面上的安装表面和多个气体喷射孔,其在所述等离子体暴露表面和所述安装表面之间延伸,并以与所述支撑板中的所述气体喷射孔匹配的图案排列。所述气体喷射孔具有小于或等于0.04英寸的直径,并且以具有一个中心气体喷射孔在所述电极的中心以及同心的八排气体喷射孔的图案排列。还公开了包括该喷头电极的喷头电极组件、以及导热和导电的衬垫组。减少单片/内电极的翘曲,并且减少由于在反复的热循环期间单片/内电极和支撑板的不同的热膨胀系数而产生的摩擦。

Description

喷头电极、喷头电极组件以及导热和导电的衬垫组
背景技术
本发明公开了一种等离子体处理室的喷头电极,在该等离子体处理室中可以制备半导体元件。集成电路芯片的制造通常用被称为“衬底”的高纯单晶半导体材料衬底(如硅或锗等)的薄抛光片开始。每个衬底进行一序列的在衬底上形成各种电路结构的物理和化学处理步骤。在制造过程中,使用各种技术将不同类型的薄膜沉积在衬底上,如使用热氧化以制备二氧化硅膜,使用化学气相沉积以制备硅、二氧化硅和氮化硅膜,以及使用溅射或其他技术来制备其他的金属膜。 
在半导体衬底上沉积膜后,通过使用称为掺杂的工艺将选定的杂质替代入半导体晶格以产生独特电学特性的半导体。然后可以将掺杂的硅衬底均匀地涂上一薄层的感光或辐射敏感材料,该材料被称为“抗蚀剂”。然后,可以使用被称为光刻的工艺将限定电路中的电子路径的小的几何图案转印到该抗蚀剂上。在光刻工艺过程中,可以将集成电路图案绘制在称为“掩模”的玻璃板上,然后光学消减,投影,并转印到该光敏涂层。 
然后通过被称为等离子体蚀刻的工艺将经光刻的抗蚀剂图案转印到下伏的半导体材料的结晶表面。真空处理室通常通过将蚀刻或沉积气体供给到真空室并施加射频(RF)场到该气体以激励该气体成等离子体状态的方式用于刻蚀和化学气相沉积(CVD)衬底上的材料。 
实用新型内容
本文描述了一种用于在电容式耦合等离子体处理室中的喷头电极组件的喷头电极,该喷头电极组件包括:其上表面和下表面之间具有延伸的气体喷射孔的支撑板、多个双头螺柱/承窝(socket)组件和凸轮轴、定位环和多个定位销;该喷头电极包括:其下表面上的等离子体暴露表面;其上表面上的安装表面;多个气体喷射孔,其在该等离子体暴露表面和该安装表面之间延伸,并以与该支撑板中的这些气体喷射孔匹配的图案排列;其中,该 气体喷射孔具有小于或等于0.04英寸的直径,并且以具有一个中心气体喷射孔位于该电极的中心处和同心的八排气体喷射孔的图案排列,第一排具有位于离该电极的中心约0.6-0.7英寸的径向距离处的七个气体喷射孔;第二排具有位于离该电极的中心约1.3-1.4英寸的径向距离处的十七个气体喷射孔;第三排具有位于离该电极的中心约2.1-2.2英寸的径向距离处的二十八个气体喷射孔;第四排具有位于离该电极的中心约2.8-3.0英寸的径向距离处的四十个气体喷射孔;第五排具有位于离该电极的中心约3.6-3.7英寸的径向距离处的四十八个气体喷射孔;第六排具有位于离该电极的中心约4.4-4.5英寸的径向距离处的五十六个气体喷射孔;第七排具有位于离该电极的中心约5.0-5.1英寸的径向距离处的六十四个气体喷射孔;第八排具有位于离该电极的中心约5.7-5.8英寸的径向距离处的七十二个气体喷射孔;每排中的气体喷射孔方位角相等地间隔。 
附图说明
图1A示出了根据一个实施方式的用于电容式耦合等离子体反应室的喷头电极组件沿一直径的局部横截面图。 
图1B示出了图1A的喷头电极组件沿着另一直径的局部横截面图。 
图1C示出具有优选的气体孔图案的喷头电极。 
图2A是用于连接图1A和图1B中所示的喷头电极组件中的外电极、内电极和环形护罩的示例性的凸轮锁的三维视图。 
图2B是图2A的示例性凸轮锁的局部横截面图。 
图3示出了用于图2A-2B的凸轮锁的示例性双头螺柱的侧视图和装配图。 
图4A示出了用于图2A和图2B的凸轮锁中的示例性凸轮轴的侧视图。 
图4B示出了图4A的凸轮轴的侧视图。 
图4C示出了图4A的凸轮轴的端视图。 
图4D示出了图4B的凸轮轴的一部分的示例性的切割路径边缘的 横截面图。 
图4E示出了安装在支撑板的孔中的图4A中的凸轮轴的局部透视图。 
图5A是在图1A-1B中的喷头电极组件中的内电极的底视图,其示出了等离子体暴露表面。 
图5B是图5A所示的内电极的横截面图。 
图5C是在图5B中的区域A的放大图。 
图5D是图5A中的内电极的顶视图,其示出了安装表面。 
图5E是跨越环形槽550的图5D中的内电极的局部横截面图。 
图5F是跨越图5D中的孔540a或孔540b的图5D中的内电极的局部横截面图。 
图5G是跨越孔530a、530b或530c的图5D中的内电极的局部横截面图。 
图6A是内衬垫、第一环形衬垫和第二环形衬垫的顶视图。 
图6B是图6A中的内衬垫的放大图。 
具体实施方式
平行板电容式耦合等离子体反应室通常由具有定位在其内的上电极组件和下电极组件的真空室组成。使要被处理的衬底(通常是半导体)由合适的掩模覆盖,并直接放置在下电极组件上。将如CF4、CHF3、CClF3、HBr、Cl2、SF6或它们的混合物等处理气体与如O2、N2、He、Ar或它们的混合物等气体一起引入室中。将该室保持在通常毫乇范围的压强。上电极组件包括具有气体喷射孔的喷头电极,该气体喷射孔使气体能够通过上电极组件均匀地分散到室中。一个或多个射频(RF)功率供给器将RF功率传送到真空室,并使中性处理气体分子离解成等离子体。等离子体中的高反应性的基团由上电极和下电极之间的电场驱使朝向衬底表面。通过与自由基的化学反应蚀刻衬底的表面或在衬底的表面上进行沉积。上电极组件可以包括单个(单片)电极或内电极和外电极,连接到支撑板的单片电极和内电极由不同的材料制成。在操作过程中单片/内电极由等离子体和/或加热器装置加热,并 可能翘曲,这对整个衬底的处理速率的均匀性产生不利影响。此外,在反复的热循环期间单片/内电极和支撑板的不同的热膨胀系数可以导致其间的摩擦。摩擦可以产生降低衬底的器件产率的微粒污染物。 
为了减少单片/内电极的翘曲,这里描述了喷头电极组件,其包括与单片/内电极的安装表面的内部啮合的多个凸轮锁。单片/内电极不用围绕其外边缘上的夹紧环夹紧边缘。相反,仅由凸轮锁连接到支撑板,该凸轮锁在分布在电极的多个位置将单片/内电极固定到该支撑板。 
图1A示出了用于蚀刻半导体衬底的等离子体反应室的喷头电极组件100的一部分的局部横截面图。如图1A所示,喷头电极组件100包括上电极110和支撑板140。组件100还可以包括热控板(图中未示出)、具有液体流动通道的温控上板(顶板)(图中未示出)。上电极110优选包括内电极120和外电极130。上电极110也可以是单片的喷头电极。上电极110可以由导电高纯度材料制成,这些材料如单晶硅、多晶硅、碳化硅或其他合适的材料。内电极120是可消耗的部件,其必须定期更换。具有C形横截面的环状护罩190围绕上电极110。都在2009年8月31日提交的共同拥有的美国临时专利申请No.61/238656、No.61/238665、No.61/238670中描述了环形护罩190的详细信息,其公开的内容通过参考引入本文。用下面描述的凸轮锁将支撑板140机械地固定到内电极120、外电极130和护罩190。图1A中的横截面图沿着由啮合在内电极120上的两个凸轮锁151和152共用的凸轮轴150形成。 
在图1A中所示的喷头电极组件100通常与静电卡盘(图中未示出)一起使用,该静电卡盘形成平面下电极组件的部分,该下电极组件在上电极110下方间隔1至5厘米支撑衬底。平行板型反应器的一个例子是由加利福尼亚州弗里蒙特的Lam Research Corporation制备的ExelanTM电介质蚀刻反应器。这种夹盘装置通过供给控制在衬底和卡盘之间的热传输的速率的背侧氦(He)压强来提供衬底的温度控制。 
在使用过程中,将来自气体源的处理气体通过在支撑板中的一个或多个通道供给到上电极110,这些通道使处理气体能够提供给在衬底上方的单个区域或多个区域。 
内电极120优选为平面盘或平面板。内电极120可以具有小于、等于或大于要处理的衬底的例如高达300毫米的直径,如果该板由单晶硅制成,则该300毫米的直径是用于300毫米衬底的目前可用的单晶硅材料的直径)。为处理300毫米衬底,外电极130被调配成将内电极120的直径从约12英寸扩大到约17英寸(如本文所用的,“约”指±10%)。外电极130可以是连续的部件(例如,单晶硅、多晶硅、碳化硅或其他环形形状的合适的材料)或分段的部件(例如,以环形构造布置的2-6分段,如单晶硅、多晶硅、碳化硅或其它材料等的段)。为了供给处理气体到在衬底和上电极110之间的间隙,内电极120设置有适于供给处理气体的尺寸和分布的多个气体喷射孔(未示出),在上电极110的下方的反应区中将处理气体激励成等离子体。 
气体喷射孔图案的详细信息对一些等离子体工艺可能是关键的。优选地,气体喷射孔106的直径小于或等于0.04英寸;更优选地,气体喷射孔106的直径在0.01和0.03英寸之间;最优选地,气体喷射孔106的直径为0.02英寸。图1C示出了一种优选的气体喷射孔图案,其可用于(单片)单个电极(如在共同转让的美国专利申请No.2010/0003829描述的电极,其内容通过引用并入本文)或具有内电极和围绕在该内电极(如在共同转让的美国专利申请No.2010/0003824所描述的内电极,其内容通过引用并入本文)的外环形电极的组件的内电极,一个气体喷射孔位于电极120的中心;其他气体喷射孔被布置在同心的八排中,该八排气体喷射孔的布置为:位于离该电极的中心约0.6-0.7(例如0.68)英寸处的第一排中的七个气体喷射孔,位于离该中心约1.3-1.4(例如1.34)英寸处的第二排中的17个气体喷射孔,位于离该中心约2.1-2.2(例如2.12)英寸处的第三排中的28个气体喷射孔,位于离该中心约2.8-3.0(例如2.90)英寸处的第四排中的40个气体喷射孔,位于离该中心约3.6-3.7英寸(例如3.67)英寸处的第五排中的48个气体喷射孔,位于离该中心约4.4-4.5(例如4.45)英寸处的第六排中的56个气体喷射孔,位于离该中心约5.0-5.1(如5.09)英寸处的第七排中的64个气体喷射孔,以及位于离该中心约5.7-5.8(如5.73)英寸处的第八排中的72个气体喷射孔。在这些排中的每排的气体喷射孔方位角方向均匀地间隔。 
单晶硅是上电极110的等离子体的暴露表面的优选的材料。在等离子体处理过程中,高纯度的单晶硅由于其仅将极少量不期望的元素引入到反应室中,并顺利地在等离子体处理期间消耗,从而最大限度减少了颗粒,因而高纯度的单晶硅使衬底的污染最小化。例如,包括能用于上电极110的等离子体暴露表面的复合材料的替代材料包括多晶硅、Y2O3、SiC、Si3N4和AlN。 
在一个实施方式中,喷头电极组件100足够大以用于处理大的衬底,如具有直径为300毫米的半导体衬底。对于300毫米的衬底,内电极120的直径至少为300毫米。然而,喷头电极组件100可以设定尺寸以处理其他尺寸的衬底。 
优选支撑板140由这样的材料制成:其与用于在等离子体处理室中处理半导体衬底的工艺气体化学相容,具有与电极材料的热膨胀系数非常匹配的热膨胀系数,和/或具有电和热传导性。可用于制造支撑板140的优选的材料包括但不限于石墨、SiC、铝(Al)、或其他合适的材料。 
优选地,使用合适的机械紧固件将支撑板140连接到热控板,该机械紧固件可以是带螺纹的双头螺柱、螺钉或类似物。例如,双头螺柱可以被插入在热控板中的孔中,并拧入在支撑板140中的螺纹开口中。优选地热控制板由如铝、铝合金等经加工的金属材料制成。该上部温度控制板优选地由铝或铝合金制成。 
外电极130和环形护罩190可以通过凸轮锁机械地连接到支撑板140。图1B示出了喷头电极组件100沿另一凸轮轴160的横截面,凸轮轴160由分别啮合在环形护罩190和外电极130上的两个凸轮锁161和162共用。 
图1A和1B所示的凸轮锁可以是在共同转让的WO2009/114175(2009年9月17日公布)和/或美国专利申请公布No.2010/0003829中所描述的凸轮锁,其公开的内容通过引用结合于此。 
参考图2A,其示出了包括外电极130或内电极120或环形护罩190和支撑板140的一部分的示例性的凸轮锁三维视图。该凸轮锁能够快速、利落、准确地将外电极130、内电极120或环状护罩190连接到支撑板 140上。 
该凸轮锁包括安装到承窝213的双头螺柱(锁销)205。该双头螺柱可以由盘型弹簧组215包围,盘型弹簧组215诸如,例如,不锈钢Belleville垫片。然后可将双头螺柱205和盘型弹簧组215压合,或通过使用粘合剂或机械紧固件固定到承窝213中。将双头螺柱205和盘型弹簧组215布置在承窝213中使得外电极130或内电极120或环形护罩190和支撑板140之间可以有限量的横向运动。限制横向移动的量使外电极130或内电极120或环形护罩190和支撑板140之间能够紧配合,从而确保良好的热接触,同时还提供一些运动以补偿该两部分之间的热膨胀差异。下面更详细的讨论限量的横向运动特征的另外的细节。 
在特定的示例性实施方式中,承窝213由高强度
Figure DEST_PATH_GDA0000398061650000071
制成。替代地,承窝213可以由具有一定的机械特性的其它材料制成,该机械特性如良好的强度和耐冲击性、耐蠕变性、尺寸稳定性,以及容易采用的耐辐射性和耐化学性。例如聚酰胺-酰亚胺、乙缩醛和超高分子量聚乙烯材料等各种材料都会是合适的。用于形成承窝213的高温特定的塑料及其他有关材料不是必需的,由于230℃是如蚀刻腔等应用中遇到的典型的最高温度。通常地,典型的工作温度接近130℃。 
将凸轮轴160或150安装到加工在支持板140中的孔中。在设计用于300毫米的半导体衬底的蚀刻室的典型应用中,八个或更多的凸轮轴可围绕支撑板140的外周间隔开。 
双头螺柱205和凸轮轴160或150可以由不锈钢(例如,316,316L,17-7,NITRONIC-60,等)或提供良好的强度和耐腐蚀性的任何其他的材料制成。 
现在参考2B,凸轮锁的横截面图进一步举例说明了如何通过将外电极130、内电极120或环形护罩190拉动紧靠支撑板140来操作凸轮锁。将双头螺柱205/盘型弹簧组215/承窝213组件安装到外电极130、内电极120或环形护罩190中。如图所示,该组件可通过承窝213上的外螺纹拧入外电极130、内电极120或环形护罩190中的螺纹承窝中。 
在图3中,具有扩大的头部、盘型弹簧组215和承窝213的双头 螺柱205的立体图和装配图300为凸轮锁的示例性的设计提供了额外的细节。在具体的示例性实施方式中,将双头螺柱/盘型弹簧组件301压配合入承窝213。承窝213具有外螺纹和六角顶端部件,使得能够使用轻的转矩(例如,在特定的示例性实施方式中,约20英寸-磅)将其容易地插入到外电极130、内电极120或环形护罩190(见图2A和2B)。正如上面所指出的,承窝213可以由不同类型的塑料加工而成。使用塑料最小化颗粒物的产生,并使得能够无磨损地将承窝213安装到在外电极130、内电极120或环形护罩190上的配合承窝中。 
双头螺柱/承窝组件303示出了承窝213的上部的内径大于双头螺柱205的中段部分的外径。该两部分之间的直径的差异允许如上面所讨论的组装的凸轮锁中有限的横向运动。将双头螺柱/盘型弹簧组件301在承窝213的基部保持与承窝213刚性接触,同时直径的差异允许一些横向运动。(也可参见图2B)。 
参考图4A,凸轮轴160或150的立体图400也示出了在凸轮轴160或150的一端上的键控双头螺柱402和六角孔403。 
例如,继续参考图4A、图2A和图2B,通过将凸轮轴160或150插入到支撑板孔211来组装凸轮锁。通过与如图4E所示的孔211的入口上的台阶接口,键控双头螺柱402限制支撑板孔211中的凸轮轴160或150的旋转行程。凸轮轴160或150具有两个内部偏心切口。在凸轮轴160中,一个切口与在外部电极130上的双头螺柱205的扩大的头部啮合,且另一切口与在环形护罩190上的双头螺柱205的扩大的头部啮合。在凸轮轴150中,两个切口中的每一个与在内电极120上的双头螺柱205的扩大的头部啮合。通过使用六角开口403,凸轮轴160或150可以先在一个方向上转动,例如,逆时针旋转,以允许双头螺柱205进入凸轮轴160或150,然后顺时针转动以完全啮合并锁定双头螺柱205。将外电极130、内电极120或环形护罩190支撑在支撑板140上所需的夹紧力通过压缩盘型弹簧组215超出其自由堆叠高度来提供。由于盘型弹簧组215压缩,夹紧力被从盘型弹簧组215中的单个弹簧传递到承窝213,并通过外电极130、内电极120或环形护罩190传递到支撑板140上。 
在一个示例性的操作模式中,将凸轮轴160或150插入到支撑板孔211中。凸轮轴160或150逆时针方向转动到其全旋转行程。然后,稍微扭到外电极130、内电极120和/或环形护罩190中的双头螺柱/承窝组件303(图3)插入到在水平延伸的支撑板孔211下方的垂直延伸的通孔,使得双头螺柱205的头与凸轮轴160或150中的偏心的切口啮合。保持外部电极130、内电极120或环形护罩190抵靠支撑板140,且顺时针方向转动凸轮轴160或150直到健控销被孔211的入口上的台阶限制。可以颠倒示例性的操作模式以从支撑板140卸除外电极130、内电极120或环形护罩190。 
参考图4D,图4A的凸轮轴160或150的横截面图420的横截面视图A-A显示了切割路径边缘440,通过边缘440双头螺柱205的头完全固定。 
图5A-G示出了内电极120的细节。内电极120优选为高纯度(小于10ppm的杂质)的低电阻率(0.005至0.02欧姆-厘米)的单晶硅的板。 
图5A是内电极120的底视图,示出了等离子体暴露表面120a。具有合适的直径和/或配置的气体喷射孔106从安装表面120b延伸到等离子体暴露表面120a(图5B),并可以布置成任何合适的图案。优选地,气体喷射孔106被布置成如图1C所示的图案。 
图5B是内电极120沿其直径方向的横截面视图。外圆周表面包括单个的环形台阶532。图5C是在图5B中的区域A的放大图。台阶532完全围绕内电极120延伸。在一个优选的实施方式中,内电极120具有约0.40英寸的厚度,约12.5英寸的外径;台阶532具有约12.0英寸的内径,约12.5英寸的外径。台阶532具有约0.20英寸长的垂直表面532a,约0.25英寸长的水平表面532b。表面532a和532b之间的内角有约0.06英寸的半径的圆角。 
图5D是内电极120的顶视图,其示出了安装表面120b。安装表面120b包括与内电极120同心的环形槽550(细节在图5E中示出),环形槽550具有约0.24英寸的内径,约0.44英寸的外径,至少为0.1英寸的深度,在入口边缘的约0.02英寸宽的45°倒角,和底部角落上的介于0.015和0.03英寸之间的半径的圆角。 
安装表面120b还包括两个光滑(无螺纹)的盲孔540a和540b,其被配置为接收位于离内电极120的中心在1.72和1.73英寸之间的半径处的定位销(图5F中所示的细节)。盲孔540b从盲孔540a顺时针偏移约175°。盲孔540a和540b具有约0.11英寸的直径,至少0.2英寸的深度,在入口边缘约0.02英寸宽的45°倒角,和底部角落上的至多0.02英寸的半径的圆角。 
安装表面120b还包括以第一圆形排排列的和以第二圆形排排列的螺纹承窝,该两圆形排将安装表面120b分成中央部分、中间部分和外侧部分。第一圆形排优选地位于介于内电极120半径的1/4至1/2之间的半径处,进一步优选为离内电极120的中心约2.4-2.6英寸的径向距离处;第二圆形排优选地位于大于内电极120半径的1/2的半径处,进一步优选为离内电极120的中心约5.3-5.5英寸的径向距离处。在一个优选的实施方式中,第一排的8个7/16-28(统一螺纹标准)螺纹承窝520a在离内电极120的中心介于2.49和2.51英寸之间的半径处沿周向间隔开且在每对相邻的螺纹承窝部520a之间方位角偏移约45°,螺纹承窝520a中的每一个被配置为接收双头螺柱/承窝组件303。每个螺纹承窝520a具有约0.2英寸的总深度、离入口边缘至少0.163英寸的螺纹深度、和在入口边缘的约0.03英寸的宽度的成45°的倒角。螺纹承窝520a中的一个与盲孔540a方位角对齐。第二排的8个7/16-28(统一螺纹标准)螺纹承窝520b离内电极120的中心介于5.40和5.42英寸之间的半径处沿周向间隔开,且在每对相邻的螺纹承窝部520b之间方位角偏移约45°,螺纹承窝520b中的每一个被配置为接收双头螺柱/承窝组件303。螺纹承窝520b和520a中的每一个具有约0.2英寸的总深度,离入口边缘至少0.163英寸的螺纹深度、和在入口边缘的约0.03英寸宽的成45°的倒角。孔520b中的一个与盲孔540a方位角对齐。 
安装表面120b还包括第一、第二和第三平滑(无螺纹)盲孔,被配置为接收定位销(分别为530a、530b和530c,或统称530)(详情示于图5G)的接收部(receipt),这些定位销在离内电极120的中心的介于6.02和6.03英寸之间的半径处径向对齐。“径向对齐”是指到中心的距离是相等的。孔530a具有介于0.11和0.12英寸之间的直径,至少0.1英寸的深度,在入口边缘上约0.02英寸宽的45°的倒角,和在底部角落具有至多0.02英寸的半径 的圆角。第一孔530a从盲孔540a方位角顺时针偏移约10°;第二孔530b从第一孔530a方位角逆时针偏移约92.5°;第三孔530c从第一孔530a方位角逆时针偏移约190°。 
参考图1A,将内电极120通过与在上表面120b中的螺纹承窝520a啮合的多个(例如,8个)凸轮锁152和通过与在上表面120b中的螺纹承窝520b啮合的多个(例如,8个)凸轮锁151中固定到支撑板140。 
凸轮锁151和152提供机械支撑的点,改善与支撑板140的热接触,减少内电极120的翘曲,从而减少处理速率的非均匀性和热的非均匀性。 
图6A示出了导热和导电衬垫组的顶视图。该衬垫组包括:包含由多个轮辐连接的多个同心环的内衬垫6100、具有多个孔和一个切口的第一环形衬垫6200、以及具有多个切口的第二环形衬垫6300。该衬垫优选为导电和导热,且由在真空(例如,约10到200毫乇)环境中没有过多的脱气的材料制成,具有低的粒子产生率,适于消解在接触点的剪切力,以及不含如Ag、Ni、Cu等半导体衬底的寿命杀手的金属组分。该衬垫可以是聚硅氧烷-铝箔夹层衬垫结构或弹性体不锈钢夹层衬垫结构。该衬垫可以是在铝薄板的上侧和下侧涂覆有与在半导体制造中使用的真空环境兼容的导热和导电橡胶,在该半导体制造中进行诸如等离子体蚀刻等步骤。衬垫优选柔顺,使得可以在将电极和支撑板机械地夹紧在一起时压缩这些衬垫,但可以在喷头电极的温度循环期间防止电极和背支撑板的相对的表面相互摩擦。衬垫可以由合适的材料制造,该材料如可以从Bergquist公司获得的“Q-PAD II”。衬垫的厚度优选为约0.006英寸。衬垫的各种特征可以从连续片材刀切割、冲压、冲孔、或优选激光切割而成。使衬垫组安装在内电极120、外部电极130和环形护罩190、以及支撑板140之间以提供和它们之间的电接触和热接触。 
图6B示出了内衬垫6100的细节。内衬垫6100优选包含由放射状的轮辐互连的9个同心环。第一环6101具有至少0.44英寸(例如,在0.60和0.65英寸之间)的内径和至多1.35英寸(例如,在0.95和1.00英寸之间)的外径。第一环6101由七个径向延伸且方位角相等地间隔的轮辐6112连接到第二环6102。每个轮辐6112具有约0.125英寸的宽度。 
第二环6102具有至少1.35英寸(例如,在1.72和1.78英寸之间)的内径和至多2.68英寸(例如,在2.25和2.35英寸之间)的外径。第二环6102由三个径向延伸且方位角相等地间隔的轮辐6123a、6123b和6123c连接到第三环6103,其中每一个轮辐都具有约0.125英寸的宽度。一个轮辐6123a从轮辐6112中的一个方位角偏移约180°。 
第三环6103具有至少2.68英寸(例如,在3.15和3.20英寸之间)的内径和至多4.23英寸(例如,在3.70英寸和3.75英寸之间)的外径。第三环由四个径向延伸且方位角相等地间隔的轮辐6134连接到第四环6104。每个轮辐具有约0.125英寸的宽度。轮辐6134中的一个从轮辐6123a方位角逆时针偏移约22.5°。第三环6103还包括位于离内衬垫6100的中心的介于1.70英寸和1.75英寸之间的径向距离处的两个圆孔6103x和6103y。圆孔6103x和6103y具有约0.125英寸的直径。圆孔6103x从轮辐6123a方位角逆时针偏移5°。圆孔6103y从轮辐6123a方位角偏移约180°。圆孔6103x和6103y被配置为接收定位销。 
第四环6104具有至少4.23英寸(例如在4.68和4.73英寸之间)的内径和至多5.79英寸(例如在5.27英寸和5.32英寸之间)的外径。第四环6104由成组的八个径向延伸且方位角相等地间隔的轮辐6145a和另一成组的八个径向延伸且方位角相等地间隔的轮辐6145b连接到第五环6105。轮辐6145b中的一个从轮辐6123a方位角逆时针偏移约8.5°。轮辐6145a中的一个从轮辐6123a方位角顺时针偏移约8.5°。每个轮辐6145a和6145b具有约0.125英寸的宽度。轮辐6145a和6145b径向向内延伸,并将第四环6104分离成其中每一个都具有约28°的中心角的八个弧形部分。 
第五环6105具有至少5.79英寸(例如在6.33和6.38英寸之间)的内径和至多7.34英寸(例如,6.71英寸和6.76英寸之间)的外径。第五环6105由四个径向延伸且方位角相等地间隔的轮辐6156连接到第六环6106。轮辐6156中的一个从轮辐6123a方位角偏移约90°。每个轮辐6156具有约0.125英寸的宽度。 
第六环6106具有至少7.34英寸(例如在7.90和7.95英寸之间)的内径和至多8.89英寸(例如在8.23英寸和8.28英寸之间)的外径。第六环 6106由成组的四个径向延伸且方位角相等地间隔的轮辐6167a和另一成组的四个径向延伸且方位角相等地间隔的轮辐6167b连接到第七环6107。轮辐6167b中的一个从轮辐6123a方位角逆时针偏移约6.4°。轮辐6167a从轮辐6123a方位角顺时针偏移约6.4°。每个轮辐6167a和6167b具有约0.125英寸的宽度。 
第七环6107具有至少8.89英寸(例如在9.32和9.37英寸之间)的内径和至多10.18英寸(例如在9.65和9.70英寸之间)的外径。第七环6107由成组的八个径向延伸且方位角相等地间隔的轮辐6178a和另一成组的八个径向延伸且方位角相等地间隔的轮辐6178b连接到第八环6108。轮辐6178b中的一个从轮辐6123a方位角逆时针偏移约5℃。轮辐6167a中的一个从轮辐6123a方位角顺时针偏移约5°。每个轮辐6167a和6167b具有约0.125英寸的宽度。 
第八环6108具有至少10.18英寸(例如介于10.59和10.64英寸之间)的内径和至多11.46英寸(例如介于10.95英寸和11.00英寸之间)的外径。第八环6108通过成组的八个径向延伸且方位角相等地间隔的轮辐6189a和另一成组的八个径向延伸且方位角相等地间隔的轮辐6189b连接到第九环6109。轮辐6189b中的一个从轮辐6123a方位角逆时针偏移约5℃。轮辐6189a中的一个从轮辐6123a方位角顺时针偏移约5°。每个轮辐6167a和6167b具有约0.125英寸的宽度。具有中心角为约6°的八个弧形切口6108h将第八环6108分开成八个部分。切口6108h方位角相等地间隔。切口6108h中的一个与轮辐6123a方位角对齐。 
第九环6109具有介于11.92英寸和11.97英寸之间的内径和介于12.45英寸和12.50英寸之间的外径。第九环6109在其内周上有三个小直径的切口6109a、6109b和6109c。切口6109b和6109c分别从切口6109a方位角逆时针偏移约92.5°和逆时针偏移约190°。切口6109c与轮辐6123a方位角对齐。切口6109a、6109b和6109c的中心位于离内衬垫6100的中心约6.02英寸的径向距离处。切口6109a、6109b和6109c朝内且包括具有约0.125英寸的直径的半圆形的外周,并包括具有直的径向边缘的内开口。第九环6109在其外周缘上还具有三个大直径圆形的并朝外的切口6109x、6109y和6109z。 切口6109x、6109y和6109z方位角相等地间隔,并具有约0.72英寸的直径。它们的中心位于离内衬垫6100的中心约6.48英寸的径向距离处。切口6109z从轮辐6123a方位角顺时针偏移约37.5°。 
第一环形衬垫6200具有约14.06英寸的内径和约16.75英寸的外径。第一环形衬垫6200具有方位角相等地间隔的八个圆形孔6209a。孔6209a的中心位于离第一环形衬垫6200的中心约7.61英寸的径向距离处。孔6209a具有约0.55英寸的直径。当安装在喷头电极组件100时(如在下文中详细描述的),孔6209a中的一个与内衬垫6100的轮辐6123a方位角对齐。第一环形衬垫6200还具有在第一环形衬垫6200的内周上的一个圆形朝内的切口6209b。切口6209b的中心位于离第一环形衬垫6200的中心约6.98英寸的距离处。切口6209b具有约0.92英寸的直径。当安装在喷头电极组件100时(如在下文中详细描述的),切口6209b从轮辐6123a方位角逆时针偏移约202.5°。第一环形衬垫6200还具有三个圆形孔6210、6220和6230,其配置为允许工具进入。这些孔位于约7.93英寸的径向距离处,并具有约0.14英寸的直径。孔6210、6220和6230分别从切口6209b方位角顺时针偏移约7.5°、约127.5°和约252.5°。 
第二环形衬垫6300具有约17.29英寸的内径和约18.69英寸的外径。第二环形衬垫6300具有在外周上方位角相等地间隔的八个圆形朝外切口6301。切口6301的中心位于离第三环形衬垫6300的中心约9.30英寸的径向距离处。切口6301具有约0.53英寸的直径。 
当将内电极120安装在室100中时,首先将定位环、两个定位销以及三个外定位销分别插入第一环形槽550、孔540a和孔540b以及孔530。然后将内衬垫6100安装到内电极120。孔6103x和6103y对应于内定位销;以及内衬垫6100的中心孔对应于定位环和内电极120中的中心气体喷射孔。在九个环之间的和在内衬垫6100中的轮辐中的开口对应于内电极120中的第一排至第八排的气体喷射孔。第九个环上的切口6109a、6109b和6109c分别对应于孔530a、530b和530c。将八个双头螺柱/承窝组件303拧入八个螺纹承窝520a且将八个双头螺柱/承窝组件303拧入八个螺纹承窝520b以将内电极120固定到支撑板140,内衬垫6100夹在其中。双头螺柱/承窝组件303在 位于中心和外边缘之间的位置支撑内电极120,改善与支撑板140的热接触,并减少在衬底的处理过程中由温度循环引起的内电极120的翘曲。将内电极120通过转动凸轮轴150抵靠支撑板140固定。将八个双头螺柱/承窝组件303拧入外电极130中的八个螺纹承窝。将第一环形衬垫6200放置在外部电极130上。将八个双头螺柱/承窝组件303拧入环形护罩190中的八个螺纹承窝。将第二环形衬垫6300放置在环形护罩190上。通过转动凸轮轴160将外电极130和环形护罩190固定到支撑板140。八个孔6209a对应于拧在外电极130上的八个双头螺柱/承窝组件303。切口6301对应于拧在护罩190上的八个双头螺柱/承窝组件303。 
可以将内衬垫6100中的环6101-6109和轮辐以任何合适的图案排列,只要它们不阻挡气体喷射孔106、凸轮锁151和152、在内电极120中的定位环或定位销即可。 
虽然已参考其具体的实施方式详细描述了喷头电极组件、喷头电极、外电极、衬垫组和气体孔图案,但是对本领域的技术人员而言,显而易见,在不脱离所附权利要求的范围的情况下可以做出各种变化和修改,以及可以使用各种等同方案。 

Claims (16)

1.一种用于在平行板电容式耦合等离子体处理室中的喷头电极组件的喷头电极,所述喷头电极组件包括支撑板、多个双头螺柱/承窝组件和凸轮轴、定位环和多个定位销,所述支撑板具有在其上表面和下表面之间延伸的气体喷射孔;所述喷头电极包括: 
其下表面上的等离子体暴露表面; 
其上表面上的安装表面; 
多个气体喷射孔,其在所述等离子体暴露表面和所述安装表面之间延伸,并以与所述支撑板中的所述气体喷射孔匹配的图案排列; 
其中,所述气体喷射孔具有小于或等于0.04英寸的直径,并且以具有一个中心气体喷射孔在所述电极的中心以及同心的八排气体喷射孔的图案排列, 
第一排具有位于离所述电极的所述中心0.6-0.7英寸的径向距离处的七个气体喷射孔; 
第二排具有位于离所述电极的所述中心1.3-1.4英寸的径向距离处的十七个气体喷射孔; 
第三排具有位于离所述电极的所述中心2.1-2.2英寸的径向距离处的二十八个气体喷射孔; 
第四排具有位于离所述电极的所述中心2.8-3.0英寸的径向距离处的四十个气体喷射孔; 
第五排具有位于离所述电极的所述中心3.6-3.7英寸的径向距离处的四十八个气体喷射孔; 
第六排具有位于离所述电极的所述中心4.4-4.5英寸的径向距离处的五十六个气体喷射孔; 
第七排具有位于离所述电极的所述中心5.0-5.1英寸的径向距离处的六十四个气体喷射孔; 
第八排具有位于离所述电极的所述中心5.7-5.8英寸的径向距离处的七十二个气体喷射孔; 
每排中的所述气体喷射孔方位角相等地间隔。 
2.根据权利要求1所述的喷头电极,其中,所述喷头电极是喷头电极组件的内电极,所述喷头电极组件包括具有向内延伸的凸缘和被配置为接收与所述支撑板的所述下表面中的开口啮合的双头螺柱/承窝组件的螺纹承窝的外电极,具有被配置为接收与所述支撑板的所述下表面中的开口啮合的双头螺柱/承窝组件的多个螺纹承窝的环形护罩,所述内电极包括: 
在其外周上的单个环形台阶,所述单个环形台阶配置为与所述外电极的所述向内延伸的凸缘匹配; 
在所述安装表面上的多个不带螺纹的盲孔,其被配置为接收所述定位销; 
在所述安装表面上的环形槽,其被配置为接收所述定位环;以及 
在所述安装表面上的多个螺纹承窝,其被配置为接收所述双头螺柱/承窝组件,所述双头螺柱/承窝组件与所述凸轮轴啮合并在不使用夹紧环的情况下连接所述内电极到所述支撑板。 
3.根据权利要求2所述的喷头电极,其中,所述多个螺纹承窝包括八个等距的螺纹承窝的第一圆形排和八个等距的螺纹承窝的第二圆形排;所述螺纹承窝中的每个其螺纹设置为7/16-28的螺纹尺寸并具有至少为0.163英寸的螺纹深度;所述第一圆形排位于离所述内电极的所述中心2.4-2.6英寸的径向距离处;所述第二圆形排位于离所述内电极的所述中心5.3-5.5英寸的径向距离处。 
4.根据权利要求2所述的喷头电极,其中,所述螺纹承窝包括在位于所述内电极的半径的1/4至1/2的半径处的第一圆形排中的八个螺纹承窝和在位于大于所述内电极的所述半径的1/2的半径处的第二圆形排中的八个螺纹承窝。 
5.根据权利要求2所述的喷头电极,其中,被配置为接收所述定位销的所述多个不带螺纹的盲孔包括第一组孔和第二组孔; 
所述第一组孔包括有下述特征的两个孔:(a)位于离所述内电极的所述中心1.7-1.8英寸的径向距离处;(b)径向对齐和彼此方位角偏移175°;(c)具有0.10-0.12英寸的直径;以及(d)具有至少0.2英寸的深度; 
所述第二组孔包括有下述特征的第一孔、第二孔和第三孔:(a)位于 离所述内电极的所述中心6.0-6.1英寸的径向距离处;(b)所述第一孔从在所述第一组中的一个孔方位角顺时针偏移10°;(c)所述第二孔和所述第三孔与所述第一孔径向对齐且从所述第一孔方位角逆时针偏移92.5°和190°;(d)具有0.11-0.12英寸的直径;以及(e)具有至少为0.1英寸的深度。 
6.根据权利要求2所述的喷头电极,其中: 
所述内电极是具有0.4英寸的均匀厚度和12.5英寸的直径的平面盘;所述环形台阶具有12.0英寸的内径和0.2英寸长的垂直表面;所述环形槽具有0.44英寸的外径、0.24英寸的内径和至少0.1英寸的深度;所述内电极由单晶硅或多晶硅的片制造,其具有介于0.005和0.020欧姆-厘米之间的电阻率和小于百万分之十的总重金属污染物。 
7.一种包括根据权利要求2所述的喷头电极的喷头电极组件,其进一步包括: 
双头螺柱/承窝组件,其拧入所述喷头电极的每个螺纹承窝;以及 
支撑板,其具有带有凸轮轴安装在其中的孔; 
其中所述喷头电极仅通过与所述凸轮轴啮合的所述双头螺柱/承窝组件固定到所述支撑板。 
8.根据权利要求7所述的喷头电极组件,其中,拧入所述喷头电极的所述螺纹承窝中的两个双头螺柱/承窝组件与单个凸轮轴啮合。 
9.一种包括根据权利要求2所述的喷头电极的喷头电极组件,其进一步包括: 
拧入所述外电极的每个螺纹承窝的双头螺柱/承窝组件,所述外电极包括外凸缘和内凸缘,所述内凸缘覆盖所述喷头电极的所述环形台阶;以及 
拧入所述环形护罩的每个螺纹承窝的双头螺柱/承窝组件,所述环形护罩具有覆盖在所述外电极的所述外凸缘上的内凸缘; 
其中,所述外电极和所述环形护罩通过与所述凸轮轴啮合的所述双头螺柱/承窝组件固定到所述支撑板。 
10.根据权利要求9所述的喷头电极组件,其中,拧入所述外电极的螺纹承窝的双头螺柱/承窝组件和拧入所述环形护罩的螺纹承窝的双头螺柱/承窝组件与单个凸轮轴啮合。 
11.一种导热和导电的衬垫组,该衬垫组被配置为安装在喷头电极组件中,所述喷头电极组件包括:如权利要求1所述的喷头电极作为内电极,具有用于接收双头螺柱/承窝组件的多个螺纹承窝的外电极,以及具有用于接收双头螺柱/承窝组件的多个螺纹承窝的环形护罩; 
所述衬垫组包括: 
配置为安装在所述内电极上的内衬垫,其包括由多个轮辐连接的多个同心平环; 
第一环形衬垫,其配置为包围所述内衬垫且与所述内衬垫同心并安装于所述外电极上,其包括具有多个切口的平环状环; 
第二环形衬垫,其配置为包围所述第一环形衬垫且与所述第一环形衬垫同心并安装于所述环形护罩上,其包括具有多个切口的平环状环; 
其中,所述衬垫组容纳气体喷射孔、定位销孔、所述定位环的槽和/或所述螺纹承窝。 
12.根据权利要求11所述的衬垫组,其中,在所述内衬垫中的所述同心平环是连续的或分段的。 
13.根据权利要求11所述的衬垫组,其中,所述内衬垫包括至少六个同心平环,其具有0.006英寸的厚度和至少0.1英寸的宽度,其中,第一环具有至少0.44英寸的内径和至多1.35英寸的外径;第二环具有至少1.35英寸的内径和至多2.68英寸的外径;第三环具有至少2.68英寸的内径和至多4.23英寸的外径;第四环具有至少4.23英寸的内径和至多5.79英寸的外径;第五环具有至少5.79英寸的内径和至多7.34英寸的外径;第六环具有至少7.34英寸的内径和至多8.89英寸的外径。 
14.根据权利要求13所述的衬垫组,其中,所述内衬垫包括九个同心平环,其中,第七环具有至少8.89英寸的内径和至多10.18英寸的外径;第八环具有至少10.18英寸的内径和至多11.46英寸的外径;第九环具有介于11.92英寸和11.97英寸之间的内径以及介于12.45英寸和12.50英寸之间的外径。 
15.根据权利要求11所述的衬垫组,其中: 
(a)所述第一环形衬垫具有在内周边上的一个切口和配置为容纳双头螺 柱/承窝组件的第一组的八个孔以及配置为允许工具进入的第二组的三个孔,其中,所述第一组中的所述孔的直径大于所述第二组中的所述孔的直径;以及 
(b)所述第二环形衬垫具有在外周边上的被配置为容纳双头螺柱/承窝组件的八个切口,且内周上没有切口。 
16.根据权利要求11所述的衬垫组,其中: 
(a)所述第一环形衬垫具有0.006英寸的厚度,1.3英寸的宽度,14.06英寸的内径和16.75英寸的外径;以及 
(b)所述第二环形衬垫具有0.006英寸的厚度,0.7英寸的宽度,17.29英寸的内径和18.69英寸的外径。 
CN201190000716.1U 2010-09-03 2011-08-25 喷头电极、喷头电极组件以及导热和导电的衬垫组 Expired - Lifetime CN203481190U (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/875,869 2010-09-03
US12/875,869 US8573152B2 (en) 2010-09-03 2010-09-03 Showerhead electrode
PCT/US2011/001500 WO2012030382A2 (en) 2010-09-03 2011-08-25 Showerhead electrode

Publications (1)

Publication Number Publication Date
CN203481190U true CN203481190U (zh) 2014-03-12

Family

ID=45769806

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201190000716.1U Expired - Lifetime CN203481190U (zh) 2010-09-03 2011-08-25 喷头电极、喷头电极组件以及导热和导电的衬垫组

Country Status (7)

Country Link
US (1) US8573152B2 (zh)
JP (1) JP3189241U (zh)
KR (1) KR200478781Y1 (zh)
CN (1) CN203481190U (zh)
SG (1) SG188356A1 (zh)
TW (1) TWI533372B (zh)
WO (1) WO2012030382A2 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106906453A (zh) * 2015-12-14 2017-06-30 朗姆研究公司 喷头组件

Families Citing this family (416)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8272346B2 (en) * 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP3160877U (ja) 2009-10-13 2010-07-15 ラム リサーチ コーポレーションLam Research Corporation シャワーヘッド電極アセンブリの端部クランプ留めおよび機械固定される内側電極
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9245717B2 (en) 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
US8562785B2 (en) * 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9083182B2 (en) 2011-11-21 2015-07-14 Lam Research Corporation Bypass capacitors for high voltage bias power in the mid frequency RF range
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
US9263240B2 (en) 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
WO2013078098A1 (en) * 2011-11-23 2013-05-30 Lam Research Corporation Multi zone gas injection upper electrode system
KR102011535B1 (ko) 2011-11-24 2019-08-16 램 리써치 코포레이션 가요성 있는 대칭적 rf 복귀 스트랩을 갖는 플라즈마 프로세싱 챔버
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9023734B2 (en) * 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
JP6465862B2 (ja) * 2013-04-10 2019-02-06 サノフイSanofi 薬物送達デバイスのための駆動機構
KR20150143793A (ko) * 2013-04-17 2015-12-23 도쿄엘렉트론가부시키가이샤 균일한 플라즈마 밀도를 가진 용량 결합형 플라즈마 장비
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
CN103878744B (zh) * 2014-04-15 2016-03-23 成都四威高科技产业园有限公司 一种快速穿套螺钉垫片的方法
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9911579B2 (en) 2014-07-03 2018-03-06 Applied Materials, Inc. Showerhead having a detachable high resistivity gas distribution plate
JP6375163B2 (ja) * 2014-07-11 2018-08-15 東京エレクトロン株式会社 プラズマ処理装置および上部電極アセンブリ
JP6298373B2 (ja) * 2014-07-11 2018-03-20 東京エレクトロン株式会社 プラズマ処理装置および上部電極アセンブリ
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9847599B2 (en) * 2014-10-17 2017-12-19 Raytheon Company Longitudinal, tolerance-mitigating cam-lock fastening system
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
USD787458S1 (en) * 2015-11-18 2017-05-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10403476B2 (en) 2016-11-09 2019-09-03 Lam Research Corporation Active showerhead
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR101855654B1 (ko) * 2016-12-23 2018-05-08 주식회사 테스 대면적 샤워헤드 어셈블리
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10669048B1 (en) * 2017-06-15 2020-06-02 United Launch Alliance, L.L.C. Mechanism for increasing jettison clearance
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US20190048467A1 (en) * 2017-08-10 2019-02-14 Applied Materials, Inc. Showerhead and process chamber incorporating same
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
CN109958681B (zh) * 2017-12-22 2020-12-11 中微半导体设备(上海)股份有限公司 一种脆性材料零件安装装置及其应用
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) * 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
JP1624668S (zh) * 2018-06-08 2019-02-18
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR20200040385A (ko) 2018-10-10 2020-04-20 (주) 일하하이텍 샤워 헤드용 가스켓
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20200093754A (ko) * 2019-01-29 2020-08-06 주성엔지니어링(주) 샤워헤드 및 이를 포함하는 기판처리장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
US10954595B2 (en) * 2019-07-30 2021-03-23 Applied Materials, Inc. High power showerhead with recursive gas flow distribution
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102168313B1 (ko) * 2019-09-09 2020-10-21 김홍석 플라즈마챔버 전극 체결부재
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202115815A (zh) * 2019-10-04 2021-04-16 美商應用材料股份有限公司 用於易碎板以防止破裂的氣體分配組件安裝
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112922935B (zh) * 2019-12-05 2023-06-30 中微半导体设备(上海)股份有限公司 连接结构和等离子体处理装置
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US20210331183A1 (en) * 2020-04-24 2021-10-28 Applied Materials, Inc. Fasteners for coupling components of showerhead assemblies
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11898245B2 (en) * 2021-02-26 2024-02-13 Applied Materials, Inc. High throughput and metal contamination control oven for chamber component cleaning process
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (137)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4585920A (en) 1982-05-21 1986-04-29 Tegal Corporation Plasma reactor removable insert
US4612077A (en) 1985-07-29 1986-09-16 The Perkin-Elmer Corporation Electrode for plasma etching system
US4908095A (en) 1988-05-02 1990-03-13 Tokyo Electron Limited Etching device, and etching method
US5074456A (en) 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
JPH04355917A (ja) 1990-10-12 1992-12-09 Seiko Epson Corp 半導体装置の製造装置
US5356515A (en) 1990-10-19 1994-10-18 Tokyo Electron Limited Dry etching method
USD363464S (en) 1992-08-27 1995-10-24 Tokyo Electron Yamanashi Limited Electrode for a semiconductor processing apparatus
KR100276093B1 (ko) 1992-10-19 2000-12-15 히가시 데쓰로 플라스마 에칭방법
KR100324792B1 (ko) 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
KR950020993A (ko) 1993-12-22 1995-07-26 김광호 반도체 제조장치
US5680013A (en) 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US5589002A (en) 1994-03-24 1996-12-31 Applied Materials, Inc. Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing
JP3468859B2 (ja) 1994-08-16 2003-11-17 富士通株式会社 気相処理装置及び気相処理方法
US5746875A (en) 1994-09-16 1998-05-05 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5590975A (en) 1994-12-06 1997-01-07 Horntvedt; Earl Fastening assembly
WO1996031997A1 (fr) 1995-04-07 1996-10-10 Seiko Epson Corporation Equipement de traitement de surface
US5569356A (en) 1995-05-19 1996-10-29 Lam Research Corporation Electrode clamping assembly and method for assembly and use thereof
US5534751A (en) 1995-07-10 1996-07-09 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
US5792269A (en) 1995-10-31 1998-08-11 Applied Materials, Inc. Gas distribution for CVD systems
USD411516S (en) 1996-03-15 1999-06-29 Tokyo Electron Limited Gas diffusion plate for electrode of semiconductor wafer processing apparatus
JP3728021B2 (ja) 1996-06-28 2005-12-21 日清紡績株式会社 プラズマエッチング電極及びその製造方法
JP3310171B2 (ja) 1996-07-17 2002-07-29 松下電器産業株式会社 プラズマ処理装置
US5882411A (en) * 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
US6444037B1 (en) 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
US5740009A (en) 1996-11-29 1998-04-14 Applied Materials, Inc. Apparatus for improving wafer and chuck edge protection
TW415970B (en) 1997-01-08 2000-12-21 Ebara Corp Vapor-phase film growth apparatus and gas ejection head
USD412513S (en) 1997-01-29 1999-08-03 Tokyo Electron Limited Upper electrode for manufacturing semiconductors
KR100434790B1 (ko) 1997-05-20 2004-06-07 동경 엘렉트론 주식회사 처리 장치
US6024799A (en) 1997-07-11 2000-02-15 Applied Materials, Inc. Chemical vapor deposition manifold
JP3480271B2 (ja) 1997-10-07 2003-12-15 東京エレクトロン株式会社 熱処理装置のシャワーヘッド構造
US6110556A (en) 1997-10-17 2000-08-29 Applied Materials, Inc. Lid assembly for a process chamber employing asymmetric flow geometries
US6079356A (en) * 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6039836A (en) 1997-12-19 2000-03-21 Lam Research Corporation Focus rings
USD420022S (en) 1997-12-24 2000-02-01 Applied Materials, Inc. Electrostatic chuck with improved spacing and charge migration reduction mask
US6050506A (en) 1998-02-13 2000-04-18 Applied Materials, Inc. Pattern of apertures in a showerhead for chemical vapor deposition
US5997649A (en) 1998-04-09 1999-12-07 Tokyo Electron Limited Stacked showerhead assembly for delivering gases and RF power to a reaction chamber
US6086677A (en) * 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6302964B1 (en) * 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6550126B1 (en) 1998-07-30 2003-04-22 Sony Electronics, Inc Method for mounting electrode assembly
US6228208B1 (en) 1998-08-12 2001-05-08 Applied Materials, Inc. Plasma density and etch rate enhancing semiconductor processing chamber
US6050216A (en) 1998-08-21 2000-04-18 M.E.C. Technology, Inc. Showerhead electrode for plasma processing
US6178919B1 (en) 1998-12-28 2001-01-30 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
WO2000045425A1 (en) 1999-02-01 2000-08-03 Tokyo Electron Limited Etching system and etching chamber
US20020179245A1 (en) 1999-03-17 2002-12-05 Toshio Masuda Plasma processing apparatus and maintenance method therefor
US6305677B1 (en) 1999-03-30 2001-10-23 Lam Research Corporation Perimeter wafer lifting
US6173673B1 (en) 1999-03-31 2001-01-16 Tokyo Electron Limited Method and apparatus for insulating a high power RF electrode through which plasma discharge gases are injected into a processing chamber
US6200415B1 (en) 1999-06-30 2001-03-13 Lam Research Corporation Load controlled rapid assembly clamp ring
US6206972B1 (en) 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6495233B1 (en) 1999-07-09 2002-12-17 Applied Materials, Inc. Apparatus for distributing gases in a chemical vapor deposition system
JP4323021B2 (ja) 1999-09-13 2009-09-02 株式会社エフオーアイ プラズマ処理装置
USD441348S1 (en) 1999-11-30 2001-05-01 Applied Materials, Inc. Process chamber lid
US6350317B1 (en) 1999-12-30 2002-02-26 Lam Research Corporation Linear drive system for use in a plasma processing system
JP4437351B2 (ja) 2000-01-14 2010-03-24 キヤノンアネルバ株式会社 プラズマエッチング装置
US6477980B1 (en) 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6237528B1 (en) 2000-01-24 2001-05-29 M.E.C. Technology, Inc. Showerhead electrode assembly for plasma processing
US6170432B1 (en) 2000-01-24 2001-01-09 M.E.C. Technology, Inc. Showerhead electrode assembly for plasma processing
US6383931B1 (en) 2000-02-11 2002-05-07 Lam Research Corporation Convertible hot edge ring to improve low-K dielectric etch
JP3411539B2 (ja) 2000-03-06 2003-06-03 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
US7196283B2 (en) 2000-03-17 2007-03-27 Applied Materials, Inc. Plasma reactor overhead source power electrode with low arcing tendency, cylindrical gas outlets and shaped surface
JP4592916B2 (ja) 2000-04-25 2010-12-08 東京エレクトロン株式会社 被処理体の載置装置
US6553932B2 (en) 2000-05-12 2003-04-29 Applied Materials, Inc. Reduction of plasma edge effect on plasma enhanced CVD processes
KR100638917B1 (ko) 2000-05-17 2006-10-25 동경 엘렉트론 주식회사 처리 장치 부품의 조립 기구 및 그 조립 방법
US6461435B1 (en) 2000-06-22 2002-10-08 Applied Materials, Inc. Showerhead with reduced contact area
US6753498B2 (en) 2000-07-20 2004-06-22 Tokyo Electron Limited Automated electrode replacement apparatus for a plasma processing system
US6412437B1 (en) 2000-08-18 2002-07-02 Micron Technology, Inc. Plasma enhanced chemical vapor deposition reactor and plasma enhanced chemical vapor deposition process
US6391787B1 (en) 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
JP3775987B2 (ja) 2000-12-26 2006-05-17 松下電器産業株式会社 プラズマ処理装置
US7211170B2 (en) 2001-04-02 2007-05-01 Lam Research Corporation Twist-N-Lock wafer area pressure ring and assembly
US6818096B2 (en) 2001-04-12 2004-11-16 Michael Barnes Plasma reactor electrode
KR100400044B1 (ko) 2001-07-16 2003-09-29 삼성전자주식회사 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드
US6786175B2 (en) 2001-08-08 2004-09-07 Lam Research Corporation Showerhead electrode design for semiconductor processing reactor
US6586886B1 (en) 2001-12-19 2003-07-01 Applied Materials, Inc. Gas distribution plate electrode for a plasma reactor
US20030127806A1 (en) 2001-12-27 2003-07-10 Belchuk Mark A. Alignment feature for a fuel cell seal
US6827815B2 (en) 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
US7479304B2 (en) 2002-02-14 2009-01-20 Applied Materials, Inc. Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate
US20030185729A1 (en) 2002-03-29 2003-10-02 Ho Ko Electrode assembly for processing a semiconductor substrate and processing apparatus having the same
US6936135B2 (en) 2002-04-17 2005-08-30 Lam Research Corporation Twist-N-Lock wafer area pressure ring and assembly for reducing particulate contaminant in a plasma processing chamber
USD490450S1 (en) 2002-05-20 2004-05-25 Tokyo Electron Limited Exhaust ring for semiconductor equipment
JP4847009B2 (ja) 2002-05-23 2011-12-28 ラム リサーチ コーポレーション 半導体処理プラズマ反応器用の多部品電極および多部品電極の一部を取り換える方法
USD493873S1 (en) 2002-05-24 2004-08-03 Tokyo Electron Limited Heating gas supplier for semiconductor manufacturing equipment
US7166200B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
JP4753276B2 (ja) 2002-11-26 2011-08-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US7270713B2 (en) 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
JP4472372B2 (ja) 2003-02-03 2010-06-02 株式会社オクテック プラズマ処理装置及びプラズマ処理装置用の電極板
US20040173313A1 (en) 2003-03-03 2004-09-09 Bradley Beach Fire polished showerhead electrode
US6942753B2 (en) 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US7296534B2 (en) 2003-04-30 2007-11-20 Tokyo Electron Limited Hybrid ball-lock attachment apparatus
US7083702B2 (en) 2003-06-12 2006-08-01 Applied Materials, Inc. RF current return path for a large area substrate plasma reactor
JP2005019606A (ja) 2003-06-25 2005-01-20 Anelva Corp プラズマ処理装置におけるガスシャワーヘッドまたはターゲットプレートを電極に固定する装置
WO2005052414A2 (en) 2003-11-25 2005-06-09 Garlock Sealing Technologies, Llc Corrugated gasket core with profiled surface
US7645341B2 (en) 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
JP4403919B2 (ja) 2004-04-01 2010-01-27 株式会社Sumco 耐久性に優れたプラズマエッチング用シリコン電極板
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US7712434B2 (en) 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US7740737B2 (en) 2004-06-21 2010-06-22 Tokyo Electron Limited Plasma processing apparatus and method
US7988816B2 (en) 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
JP4550507B2 (ja) 2004-07-26 2010-09-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
US20060042754A1 (en) 2004-07-30 2006-03-02 Tokyo Electron Limited Plasma etching apparatus
US20060043067A1 (en) 2004-08-26 2006-03-02 Lam Research Corporation Yttria insulator ring for use inside a plasma chamber
US7244311B2 (en) 2004-10-13 2007-07-17 Lam Research Corporation Heat transfer system for improved semiconductor processing uniformity
JP2006128000A (ja) 2004-10-29 2006-05-18 Advanced Lcd Technologies Development Center Co Ltd プラズマ処理装置
US20060108069A1 (en) 2004-11-19 2006-05-25 Samsung Electronics Co., Ltd. Plasma reaction chamber and captive silicon electrode plate for processing semiconductor wafers
US7430986B2 (en) 2005-03-18 2008-10-07 Lam Research Corporation Plasma confinement ring assemblies having reduced polymer deposition characteristics
KR100621778B1 (ko) 2005-06-17 2006-09-11 삼성전자주식회사 플라즈마 처리 장치
US7713379B2 (en) 2005-06-20 2010-05-11 Lam Research Corporation Plasma confinement rings including RF absorbing material for reducing polymer deposition
US7431788B2 (en) 2005-07-19 2008-10-07 Lam Research Corporation Method of protecting a bond layer in a substrate support adapted for use in a plasma processing system
US20070032081A1 (en) 2005-08-08 2007-02-08 Jeremy Chang Edge ring assembly with dielectric spacer ring
JP4628900B2 (ja) 2005-08-24 2011-02-09 株式会社日立ハイテクノロジーズ プラズマ処理装置
US8679252B2 (en) 2005-09-23 2014-03-25 Lam Research Corporation Actively heated aluminum baffle component having improved particle performance and methods of use and manufacture thereof
US8789493B2 (en) 2006-02-13 2014-07-29 Lam Research Corporation Sealed elastomer bonded Si electrodes and the like for reduced particle contamination in dielectric etch
US8008596B2 (en) 2006-03-16 2011-08-30 Tokyo Electron Limited Plasma processing apparatus and electrode used therein
US8635971B2 (en) 2006-03-31 2014-01-28 Lam Research Corporation Tunable uniformity in a plasma processing system
US7829468B2 (en) 2006-06-07 2010-11-09 Lam Research Corporation Method and apparatus to detect fault conditions of plasma processing reactor
US7740736B2 (en) 2006-06-08 2010-06-22 Lam Research Corporation Methods and apparatus for preventing plasma un-confinement events in a plasma processing chamber
US7875824B2 (en) 2006-10-16 2011-01-25 Lam Research Corporation Quartz guard ring centering features
US7854820B2 (en) 2006-10-16 2010-12-21 Lam Research Corporation Upper electrode backing member with particle reducing features
US20080087641A1 (en) 2006-10-16 2008-04-17 Lam Research Corporation Components for a plasma processing apparatus
US8702866B2 (en) 2006-12-18 2014-04-22 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
US8398778B2 (en) 2007-01-26 2013-03-19 Lam Research Corporation Control of bevel etch film profile using plasma exclusion zone rings larger than the wafer diameter
US7862682B2 (en) 2007-06-13 2011-01-04 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
US8216418B2 (en) 2007-06-13 2012-07-10 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings
WO2009042137A2 (en) 2007-09-25 2009-04-02 Lam Research Corporation Temperature control modules for showerhead electrode assemblies for plasma processing apparatuses
US8152954B2 (en) 2007-10-12 2012-04-10 Lam Research Corporation Showerhead electrode assemblies and plasma processing chambers incorporating the same
US8187414B2 (en) 2007-10-12 2012-05-29 Lam Research Corporation Anchoring inserts, electrode assemblies, and plasma processing chambers
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US8418649B2 (en) 2007-12-19 2013-04-16 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
JP5224855B2 (ja) 2008-03-05 2013-07-03 東京エレクトロン株式会社 電極ユニット、基板処理装置及び電極ユニットの温度制御方法
TWI455239B (zh) 2008-03-14 2014-10-01 Lam Res Corp 凸輪鎖電極夾
US8187413B2 (en) 2008-03-18 2012-05-29 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket
US8206506B2 (en) * 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8221582B2 (en) * 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8161906B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8402918B2 (en) * 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) * 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
SG169960A1 (en) * 2009-09-18 2011-04-29 Lam Res Corp Clamped monolithic showerhead electrode
JP3160877U (ja) * 2009-10-13 2010-07-15 ラム リサーチ コーポレーションLam Research Corporation シャワーヘッド電極アセンブリの端部クランプ留めおよび機械固定される内側電極
US8470127B2 (en) * 2011-01-06 2013-06-25 Lam Research Corporation Cam-locked showerhead electrode and assembly

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106906453A (zh) * 2015-12-14 2017-06-30 朗姆研究公司 喷头组件
CN106906453B (zh) * 2015-12-14 2020-12-04 朗姆研究公司 喷头组件

Also Published As

Publication number Publication date
WO2012030382A3 (en) 2012-08-23
US8573152B2 (en) 2013-11-05
US20120055632A1 (en) 2012-03-08
JP3189241U (ja) 2014-03-06
SG188356A1 (en) 2013-04-30
WO2012030382A2 (en) 2012-03-08
KR200478781Y1 (ko) 2015-11-13
KR20130002985U (ko) 2013-05-21
TW201218270A (en) 2012-05-01
TWI533372B (zh) 2016-05-11

Similar Documents

Publication Publication Date Title
CN203481190U (zh) 喷头电极、喷头电极组件以及导热和导电的衬垫组
CN201781676U (zh) 喷头电极总成的边缘卡紧且机械紧固的内电极
CN202025711U (zh) 喷头电极总成和用于喷头电极总成的垫圈
CN202695373U (zh) 凸轮锁定的网状电极及其组件
CN201919233U (zh) 夹紧的单体喷头电极和喷头电极组件
US10930543B2 (en) Thermal processing susceptor
CN102084726B (zh) 夹持式喷淋头电极总成
KR101419081B1 (ko) 입자 감소 특징을 갖는 상부 전극 백킹 부재
CN102037790B (zh) 卡紧的整体喷头电极
CN100550271C (zh) 用于半导体处理反应器的喷头电极设计
CN201898113U (zh) 具有中心定位特征的喷淋头电极及其组件
TWI486479B (zh) 以最高密度配置於基板座上之複數基板之塗佈裝置
CN202076225U (zh) 等离子体处理室及具有倾斜上表面的热边缘环
US8206506B2 (en) Showerhead electrode
US20020134511A1 (en) Substrate supporting table,method for producing same, and processing system
JP6824338B2 (ja) シャワーヘッド支持構造
KR20090068283A (ko) 석영 가드 링
JP2008103589A (ja) 半導体処理装置用シャワーヘッド及び半導体処理装置のシャワーヘッドに用いられる表側電極板
US20120145701A1 (en) Electrical resistance heater and heater assemblies
TW202147377A (zh) 用於高功率高壓力製程的分段式氣體分配板
JP2013533641A (ja) 基板処理装置およびシステム

Legal Events

Date Code Title Description
GR01 Patent grant
GR01 Patent grant
CX01 Expiry of patent term

Granted publication date: 20140312

CX01 Expiry of patent term