JP3189241U - シャワーヘッド電極およびガスケット - Google Patents

シャワーヘッド電極およびガスケット Download PDF

Info

Publication number
JP3189241U
JP3189241U JP2013600061U JP2013600061U JP3189241U JP 3189241 U JP3189241 U JP 3189241U JP 2013600061 U JP2013600061 U JP 2013600061U JP 2013600061 U JP2013600061 U JP 2013600061U JP 3189241 U JP3189241 U JP 3189241U
Authority
JP
Japan
Prior art keywords
inches
electrode
gasket
annular
diameter
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2013600061U
Other languages
English (en)
Inventor
ラ レラ・アンソニー デ
ラ レラ・アンソニー デ
マンキディー・プラティク
ケロッグ・マイケル・シー.
ディンドサ・ラジンダー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Application granted granted Critical
Publication of JP3189241U publication Critical patent/JP3189241U/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01RELECTRICALLY-CONDUCTIVE CONNECTIONS; STRUCTURAL ASSOCIATIONS OF A PLURALITY OF MUTUALLY-INSULATED ELECTRICAL CONNECTING ELEMENTS; COUPLING DEVICES; CURRENT COLLECTORS
    • H01R13/00Details of coupling devices of the kinds covered by groups H01R12/70 or H01R24/00 - H01R33/00
    • H01R13/02Contact members
    • H01R13/20Pins, blades, or sockets shaped, or provided with separate member, to retain co-operating parts together
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making
    • Y10T29/49117Conductor or circuit manufacturing
    • Y10T29/49204Contact or terminal manufacturing
    • Y10T29/49208Contact or terminal manufacturing by assembling plural parts
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/53Means to assemble or disassemble
    • Y10T29/5313Means to assemble electrical device
    • Y10T29/532Conductor
    • Y10T29/53204Electrode

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

【課題】半導体構成要素を製造することができるプラズマ処理チャンバのシャワーヘッド電極を提供する。【解決手段】内側電極120は、好ましくは、平坦な円板またはプレートである。内側電極120は、処理対象の基板により直径を小さくすることも、基板と等しくすることも、基板より大きくすることもでき、例えばプレートが単結晶シリコンからなる場合には最大で300mmである。外側電極130は、連続する部材、またはセグメント化された部材でよい。基板と上側電極110との間際にプロセスガスを供給するために、内側電極120は、複数のガス注入穴を設けられ、これらのガス注入穴は、プロセスガスを供給するのに適したサイズ及び分布であり、プロセスガスは、上側電極110の下の反応区域内で励起されてプラズマになる。【選択図】図1A

Description

本明細書では、半導体構成要素を製造することができるプラズマ処理チャンバのシャワーヘッド電極を開示する。集積回路チップの製造は、典型的には、高純度の単結晶半導体材料(例えばシリコンまたはゲルマニウム)基質の薄い研磨されたスライス(「基板」と呼ばれる)から始まる。各基板は、一連の物理的および化学的処理ステップを施され、それらのステップが、基板上に様々な回路構造を形成する。製造プロセス中、様々なタイプの薄膜を様々な技法を使用して基板上に堆積することができ、そのような技法は、例えば、二酸化ケイ素被膜を形成するための熱酸化、シリコン、二酸化ケイ素、および窒化ケイ素の被膜を形成するための化学気相成長、ならびに他の金属被膜を形成するためのスパッタリングまたは他の技法である。
半導体基板上に被膜を堆積した後、ドーピングと呼ばれるプロセスを使用して、選択された不純物を半導体結晶格子に置換することによって、半導体の独特な電気的特性が生み出される。次いで、ドープされたシリコン基板を、「レジスト」と呼ばれる感光性または感放射線性の材料の薄い層で一様に被覆することができる。次いで、リソグラフィとして知られているプロセスを使用して、回路内の電子経路を画定する小さな幾何学的パターンをレジスト上に転写することができる。リソグラフィプロセス中、集積回路パターンは、「マスク」と呼ばれるガラスプレート上に描かれ、次いで、光学的に縮小され、投影され、感光性コーティング上に転写される。
次いで、リソグラフィ処理を施されたレジストパターンが、プラズマエッチングとして知られているプロセスによって、レジストパターンの下の半導体材料の結晶面上に転写される。一般に、真空処理チャンバが、基板上でエッチングおよび材料の化学気相成長(CVD)を行うために使用され、これは、エッチングガスまたは堆積ガスを真空チャンバに供給し、ガスに高周波(RF)場を印加して、ガスをプラズマ状態に励起することによって行われる。
本明細書では、容量結合プラズマ処理チャンバ内のシャワーヘッド電極アセンブリ用のシャワーヘッド電極であって、シャワーヘッド電極アセンブリが、上面と下面の間に延在するガス注入穴を有するバッキングプレートと、複数のスタッド/ソケットアセンブリおよびカムシャフトと、位置合わせリングと、複数の位置合わせピンとを備え、シャワーヘッド電極が、シャワーヘッド電極の下面のプラズマ露出面と、シャワーヘッド電極の上面の取付面と、シャワーヘッド電極のプラズマ露出面と取付面の間に延在し、バッキングプレートのガス注入穴に合致するパターンで配列された複数のガス注入穴とを備え、ガス注入穴が、0.04インチ以下の直径を有し、電極の中心にある1つの中心ガス注入穴と、ガス注入穴の8列の同心列とを有するパターンで配列され、第1の列が、電極の中心から約0.6〜0.7インチの半径方向距離に位置された7個のガス注入穴を有し、第2の列が、電極の中心から約1.3〜1.4インチの半径方向距離に位置された17個のガス注入穴を有し、第3の列が、電極の中心から約2.1〜2.2インチの半径方向距離に位置された28個のガス注入穴を有し、第4の列が、電極の中心から約2.8〜3.0インチの半径方向距離に位置された40個のガス注入穴を有し、第5の列が、電極の中心から約3.6〜3.7インチの半径方向距離に位置された48個のガス注入穴を有し、第6の列が、電極の中心から約4.4〜4.5インチの半径方向距離に位置された56個のガス注入穴を有し、第7の列が、電極の中心から約5.0〜5.1インチの半径方向距離に位置された64個のガス注入穴を有し、第8の列が、電極の中心から約5.7〜5.8インチの半径方向距離に位置された72個のガス注入穴を有し、各列にあるガス注入穴が、方位角で等間隔に配置されるシャワーヘッド電極を開示する。
一実施形態による、容量結合プラズマ反応チャンバに関する、1つの直径に沿ったシャワーヘッド電極アセンブリの部分断面図である。
別の直径に沿った図1Aのシャワーヘッド電極アセンブリの部分断面図である。
好ましいガス穴パターンを有するシャワーヘッド電極を示す図である。
図1Aおよび図1Bに示されるシャワーヘッド電極アセンブリにおいて、外側電極、内側電極、および環状シュラウドを取り付けるための例示的なカムロックを3次元表示する図である。
図2Aの例示的なカムロックの部分断面図である。
図2A〜図2Bのカムロックで使用される例示的なスタッドの側面組立図である。
図2Aおよび図2Bのカムロックで使用される例示的なカムシャフトの側面図である。
図4Aのカムシャフトの側面図である。
図4Aのカムシャフトの端面図である。
図4Bのカムシャフトの一部分の例示的なカッタパスエッジの断面図である。
バッキングプレートにあるボア内に取り付けられた図4Aのカムシャフトの部分斜視図である。
プラズマ露出面を示す、図1A〜図1Bのシャワーヘッド電極アセンブリでの内側電極の底面図である。
図5Aの内側電極の断面図である。
図5Bの領域Aの拡大図である。
取付面を示す、図5Aの内側電極の上面図である。
環状溝550にわたる図5Dの内側電極の部分断面図である。
図5Dの穴540aまたは540bにわたる図5Dの内側電極の部分断面図である。
穴530a、530b、または530cにわたる図5Dの内側電極の部分断面図である。
内側ガスケット、第1の環状ガスケット、および第2の環状ガスケットの上面図である。
図6Aの内側ガスケットの拡大図である。
平行平板型容量結合プラズマ反応チャンバは、典型的には、内部に位置決めされた上側電極アセンブリと下側電極アセンブリを備える真空チャンバからなる。処理対象の基板(通常は半導体)は、適切なマスクによって覆われ、下側電極アセンブリの上に直接配置される。CF4、CHF3、CClF3、HBr、Cl2、SF6、またはそれらの混合物などのプロセスガスが、O2、N2、He、Ar、またはそれらの混合物などのガスと共にチャンバ内に導入される。チャンバは、典型的にはミリトール範囲内の圧力で維持される。上側電極アセンブリは、(1つまたは複数の)ガス注入穴を有するシャワーヘッド電極を含み、これらのガス注入穴は、ガスを、上側電極アセンブリを通してチャンバ内に均一に分散させることができるようにする。1つまたは複数の高周波(RF)電源が、RF出力を真空チャンバ内に伝送し、中性プロセスガス分子を解離してプラズマにする。プラズマ中の高反応性ラジカルは、上側電極と下側電極の間の電場によって基板表面に向けられる。基板の表面は、ラジカルとの化学反応によって、エッチングまたは堆積を施される。上側電極アセンブリは、ただ1つの(モノリシック)電極、または内側電極と外側電極を含むことができ、モノリシック電極および内側電極は、異なる材料からなるバッキングプレートに取り付けられる。モノリシック/内側電極は、動作中、プラズマおよび/または加熱器構成によって加熱され、歪むことがあり、これは、基板全体にわたる処理速度の均一性に悪影響を及ぼすことがある。さらに、モノリシック/内側電極とバッキングプレートとの熱膨張の差が、繰り返される熱サイクル中にそれらの間での擦れを引き起こすことがある。擦れは、微粒子汚染物質を生じることがあり、これらの微粒子汚染物質は、基板から得られるデバイスの歩留まりを低下させる。
モノリシック/内側電極の歪みを減少させるために、本明細書では、モノリシック/内側電極の取付面の内部に係合される複数のカムロックを含むシャワーヘッド電極アセンブリを述べる。モノリシック/内側電極は、その外縁部の周りでクランプリングによって縁部をクランプされない。そうではなく、バッキングプレートへの取付けは、カムロックのみによって実現される。カムロックは、モノリシック/内側電極を、電極全体にわたって分布させた複数の位置でバッキングプレートに固定する。
図1Aは、半導体基板をエッチングするためのプラズマ反応チャンバのシャワーヘッド電極アセンブリ100の一部分の部分断面図である。図1Aに示されるように、シャワーヘッド電極アセンブリ100は、上側電極110と、バッキングプレート140とを含む。また、アセンブリ100は、熱制御プレート(図示せず)と、内部に液体フローチャネルを有する被温度制御上側プレート(上部プレート)(図示せず)とを含むこともできる。上側電極110は、好ましくは、内側電極120と外側電極130を含む。上側電極110は、モノリシックシャワーヘッド電極でもよい。上側電極110は、単結晶シリコン、多結晶シリコン、炭化ケイ素、または他の適切な材料など、導電性の高純度材料からなることがある。内側電極120は、定期的に交換しなければならない消耗部品である。C字形の断面を有する環状シュラウド190が、上側電極110を取り囲む。環状シュラウド190の詳細は、いずれも2009年8月31日出願の本願と同一所有者の米国仮特許出願第61/238656号、第61/238665号、第61/238670号に記載されており、それらの開示を参照により本明細書に援用する。バッキングプレート140は、以下に述べるカムロックによって、内側電極120、外側電極130、およびシュラウド190に機械的に固定される。図1Aの断面は、内側電極120に係合された2つのカムロック151と152によって共有されるカムシャフト150に沿っている。
図1Aに示されるシャワーヘッド電極アセンブリ100は、典型的には、偏平な下側電極アセンブリの静電チャック(図示せず)の形成部品と共に使用され、下側電極アセンブリ上に、基板が、上側電極110から下に1〜5cm離して支持される。平行平板型リアクタの一例は、Lam Research Corporation(米国カリフォルニア州フレーモント)によって製造されているExelan(商標)誘電体エッチングリアクタである。そのようなチャック構成は、基板とチャックの間の伝熱速度を制御する裏面ヘリウム(He)圧力を供給することによって基板の温度制御を提供する。
使用中、ガス源からのプロセスガスは、バッキングプレートにある1つまたは複数の経路を通して上側電極110に供給され、これらの経路は、プロセスガスを、基板の上方の単一の区域または複数の区域に供給できるようにする。
内側電極120は、好ましくは、平坦な円板またはプレートである。内側電極120は、処理対象の基板より直径を小さくすることも、基板と等しくすることも、基板より大きくすることもでき、例えばプレートが単結晶シリコンからなる場合には最大で300mmであり、この直径は、300mmの基板に関して使用される現在利用可能な単結晶シリコン材料の直径である。300mmの基板を処理するために、外側電極130は、内側電極120の直径を約12インチから約17インチ(本明細書で使用するとき、「約」は±10%を表す)に拡張するように適合される。外側電極130は、連続する部材(例えば、リングの形態での単結晶シリコン、多結晶シリコン、炭化ケイ素、または他の適切な材料)、またはセグメント化された部材(例えば、リング形状に配列された2〜6個の個別のセグメント、例えば単結晶シリコン、多結晶シリコン、炭化ケイ素、または他の材料のセグメント)でよい。基板と上側電極110との間隙にプロセスガスを供給するために、内側電極120は、複数のガス注入穴(図示せず)を設けられ、これらのガス注入穴は、プロセスガスを供給するのに適したサイズおよび分布であり、プロセスガスは、上側電極110の下の反応区域内で励起されてプラズマになる。
ガス注入穴パターンの詳細は、いくつかのプラズマプロセスに重要であることがある。好ましくは、ガス注入穴106の直径は0.04インチ以下であり、より好ましくは、ガス注入穴106の直径は0.01〜0.03インチであり、最も好ましくは、ガス注入穴106の直径は0.02インチである。好ましいガス注入穴パターンが図1Cに示されており、このガス注入穴パターンは、(モノリシック)一部片電極(例えば、参照により本明細書に援用する、本願と同一の譲受人に譲渡された米国特許出願公開第2010/0003829号に記載されているような電極)、または、内側電極と、内側電極を取り囲む外側環状電極とを有するアセンブリの内側電極(例えば、参照により本明細書に援用する、本願と同一の譲受人に譲渡された米国特許出願公開第2010/0003824号に記載されているような内側電極)で使用することができ、1つのガス注入穴が電極120の中心に位置され、他のガス注入穴が8列の同心列に配列され、7個のガス注入穴が、電極の中心から約0.6〜0.7(例えば、0.68)インチに位置された第1の列にあり、17個のガス注入穴が、中心から約1.3〜1.4(例えば1.34)インチに位置された第2の列にあり、28個のガス注入穴が、中心から約2.1〜2.2(例えば2.12)インチに位置された第3の列にあり、40個のガス注入穴が、中心から約2.8〜3.0(例えば2.90)インチに位置された第4の列にあり、48個のガス注入穴が、中心から約3.6〜3.7(例えば3.67)インチに位置された第5の列にあり、56個のガス注入穴が、中心から約4.4〜4.5(例えば4.45)インチに位置された第6の列にあり、64個のガス注入穴が、中心から約5.0〜5.1(例えば5.09)インチに位置された第7の列にあり、72個のガス注入穴が、中心から約5.7〜5.8(例えば5.73)インチに位置された第8の列にある。これらの各列でのガス注入穴は、方位角で等間隔に配置される。
単結晶シリコンが、上側電極110のプラズマ露出面のための好ましい材料である。高純度の単結晶シリコンは、プラズマ処理中の基板の汚染を最小限に抑える。これは、高純度の単結晶シリコンが、望ましくない成分を最小量しか反応チャンバ内に導入せず、また、プラズマ処理中に滑らかに摩耗し、それにより粒子を最小限にするからである。上側電極110のプラズマ露出面のために使用することができる材料の複合材を含む代替材料としては、例えば、多結晶シリコン、Y2O3、SiC、Si3N4、およびAlNが挙げられる。
一実施形態では、シャワーヘッド電極アセンブリ100は、大きな基板、例えば直径が300mmの半導体基板を処理するのに十分に大きい。300mmの基板に関して、内側電極120は、直径が少なくとも300mmである。しかし、シャワーヘッド電極アセンブリ100は、他のサイズの基板を処理するようにサイズ設定することもできる。
バッキングプレート140は、好ましくは、プラズマ処理チャンバ内で半導体基板を処理するために使用されるプロセスガスと化学的に適合性があり、電極材料の熱膨張率とほぼ一致する熱膨張率を有し、および/または導電性および熱伝導性がある材料からなる。バッキングプレート140を形成するために使用することができる好ましい材料としては、限定はしないが、黒鉛、SiC、アルミニウム(Al)、または他の適切な材料が挙げられる。
バッキングプレート140は、好ましくは、適切な機械的固定具によって熱制御プレートに取り付けられ、固定具は、ねじ付きボルトや、ねじなどでよい。例えば、ボルトを熱制御プレートの穴に挿入し、バッキングプレート140のねじ付き開口内にねじ留めすることができる。熱制御プレートは、好ましくは、アルミニウムやアルミニウム合金など、機械加工された金属材料からなる。被温度制御上側プレートは、好ましくは、アルミニウムまたはアルミニウム合金からなる。
外側電極130および環状シュラウド190は、カムロックによって、バッキングプレート140に機械的に取り付けることができる。図1Bは、それぞれ環状シュラウド190および外側電極130に係合された2つのカムロック161と162によって共有される別のカムシャフト160に沿ったシャワーへッド電極アセンブリ100の断面図である。
図1Aおよび図1Bに示されるカムロックは、(2009年9月17日に公開された)本願と同一の譲受人に譲渡された国際公開第2009/114175号、および/または米国特許出願公開第2010/0003829号に記載されているようなカムロックでよく、それらの開示を参照により本明細書に援用する。
図2Aを参照すると、例示的なカムロックの3次元図が、外側電極130または内側電極120または環状シュラウド190、およびバッキングプレート140の一部分を含む。カムロックは、外側電極130、内側電極120、または環状シュラウド190をバッキングプレート140に迅速に、清浄に、かつ正確に取り付けることが可能である。
カムロックは、ソケット213に取り付けられたスタッド(留めピン)205を含む。スタッドは、皿ばねスタック215、例えばステンレス鋼ベルヴィル(Belleville)ワッシャによって取り囲まれることがある。次いで、接着剤または機械的固定具の使用によって、スタッド205および皿ばねスタック215をソケット213内に圧力嵌めする、または他の方法で固定することができる。スタッド205および皿ばねスタック215は、外側電極130または内側電極120または環状シュラウド190とバッキングプレート140との間で限られた量の横方向移動のみが可能であるように、ソケット213内に配置される。横方向移動の量の制限は、2つの部品間の熱膨張の差を見込んで依然としていくらかの移動を可能にしながら、外側電極130または内側電極120または環状シュラウド190とバッキングプレート140との密接な嵌合を可能にし、それにより良好な熱的接触を保証する。限られた横方向移動の特徴に関するさらなる詳細は、以下により詳細に論じる。
特定の例示的実施形態では、ソケット213は、高強度のTorlon(登録商標)から製造される。あるいは、ソケット213は、優れた強度と耐衝撃性、耐クリープ性、寸法安定性、耐放射線性、ならびに耐化学性など特定の機械的特性を有する他の材料から製造することもでき、これら材料は容易に採用することができる。ポリアミドイミド、アセタール、および超高分子量ポリエチレン材料など様々な材料がすべて適していることがある。エッチングチャンバなどの用途で生じる典型的な最高温度は230℃なので、ソケット213を形成するために耐高温性プラスチックおよび他の関連の材料の使用は要求されない。一般に、典型的な動作温度は、130℃程度である。
カムシャフト160または150は、バッキングプレート140に機械加工されたボア内に取り付けられる。300mmの半導体基板用に設計されたエッチングチャンバに関する典型的な用途では、バッキングプレート140の周縁部に巡らせて8個以上のカムシャフトが間隔を空けて配置されることがある。
スタッド205およびカムシャフト160または150は、ステンレス鋼(例えば、316、316L、17−7、NITRONIC−60など)、または優れた強度および耐食性を提供する任意の他の材料から機械加工されることがある。
次に図2Bを参照すると、カムロックの断面図が、バッキングプレート140の近くで外側電極130、内側電極120、または環状シュラウド190を引っ張ることによってカムロックが動作する方法をさらに例示する。スタッド205/皿ばねスタック215/ソケット213のアセンブリが、外側電極130、内側電極120、または環状シュラウド190内に取り付けられる。図示されるように、アセンブリは、ソケット213の雄ねじによって、外側電極130、内側電極120、または環状シュラウド190のねじ付きソケット内にねじ留めすることができる。
図3において、膨らんだ頭部を有するスタッド205、皿ばねスタック215、およびソケット213の正面組立図300が、カムロックの例示的な設計への追加の詳細を提供する。特定の例示的実施形態では、スタッド/皿ばねアセンブリ301が、ソケット213内に圧力嵌めされる。ソケット213は、雄ねじおよび六角形上部部材を有し、わずかなトルク(例えば、特定の例示的実施形態では約20インチ・ポンド)で外側電極130、内側電極120、または環状シュラウド190(図2Aおよび図2B参照)内に容易に挿入できるようになっている。上述したように、ソケット213は、様々なタイプのプラスチックから機械加工することができる。プラスチックの使用は、粒子生成を最小限にし、外側電極130、内側電極120、または環状シュラウド190の対合ソケット内にソケット213を摩耗なしで設置できるようにする。
スタッド/ソケットアセンブリ303は、ソケット213の上部での内径が、スタッド205の中央区域部分の外径よりも大きいことを示す。上述したように、2つの部分の直径の差が、組み立てられたカムロックの限られた横方向移動を可能にする。スタッド/皿ばねアセンブリ301は、直径の差がいくらかの横方向移動を可能にする一方で、ソケット213の底部でソケット213にしっかりと接触して維持される。(図2Bも参照のこと)。
また、図4Aを参照すると、カムシャフト160または150の斜視図400が、カムシャフト160または150の一端にあるキーイングスタッド402と六角形開口403を示す。
例えば、引き続き図4A、図2A、および図2Bを参照すると、カムロックは、バッキングプレートボア211内にカムシャフト160または150を挿入することによって組み立てられる。キーイングスタッド402は、図4Eに示されるように、ボア211の入口にある段差に係合することによって、バッキングプレートボア211内でのカムシャフト160または150の回転移動を制限する。カムシャフト160または150は、2つの内側偏心切欠を有する。カムシャフト160では、一方の切欠が、外側電極130上で、スタッド205の膨らんだ頭部に係合し、他方の切欠が、環状シュラウド190上で、スタッド205の膨らんだ頭部に係合する。カムシャフト150では、2つの切欠はそれぞれ、内側電極120上で、スタッド205の膨らんだ頭部に係合する。まず、六角形開口403を使用してカムシャフト160または150を一方向(例えば反時計回り)に回転させて、カムシャフト160または150内にスタッド205を進入させ、次いでカムシャフト160または150を時計回りに回転させて、スタッド205を完全に係合および係止することができる。外側電極130、内側電極120、または環状シュラウド190をバッキングプレート140に保持するのに必要なクランプ力は、皿ばねスタック215を、それらのスタック自由高さよりも圧縮することによって供給される。皿ばねスタック215が圧縮するとき、クランプ力は、皿ばねスタック215の個々のばねからソケット213に伝達され、さらに、外側電極130、内側電極120、または環状シュラウド190を通してバッキングプレート140に伝達される。
例示的な動作モードでは、カムシャフト160または150は、バッキングプレートボア211内に挿入される。カムシャフト160または150は、反時計回りに回転されて、その完全な回転移動を行う。次いで、外側電極130、内側電極120、および/または環状シュラウド190に入るようにわずかにトルクを与えられたスタッド/ソケットアセンブリ303(図3)は、水平に延在するバッキングプレートボア211の下で、垂直に延在する貫通穴内に挿入され、それにより、スタッド205の頭部は、カムシャフト160または150の偏心切欠内に係合する。外側電極130、内側電極120、または環状シュラウド190は、バッキングプレート140に当接して保持され、カムシャフト160または150は、ボア211の入口にある段差によってキーイングピンが制限されるまで時計回りに回転される。例示的な動作モードを逆順にして、外側電極130、内側電極120、または環状シュラウド190をバッキングプレート140から取り外すことができる。
図4Dを参照すると、図4Aのカムシャフト160または150の側面図420の断面図A−Aが、スタッド205の頭部が完全に固定されるカッタパスエッジ440を示す。
図5A〜図5Gは、内側電極120の詳細を示す。内側電極120は、好ましくは、高純度(10ppm未満の不純物)で低抵抗(0.005〜0.02Ω・cm)の単結晶シリコンのプレートである。
図5Aは、プラズマ露出面120aを示す内側電極120の底面図である。適切な直径および/または形状のガス注入穴106が、取付面120bからプラズマ露出面120a(図5B)まで延在し、これらは任意の適切なパターンで配列することができる。好ましくは、ガス注入穴106は、図1Cに示されるようなパターンで配列される。
図5Bは、内側電極120の直径に沿った内側電極120の断面図である。外円周面は、ただ1つの環状の段差532を含む。図5Cは、図5Bでの領域Aの拡大図である。段差532は、内側電極120の全周にわたって延在する。好ましい実施形態では、内側電極120は、厚さが約0.40インチであり、外径が約12.5インチである。段差532は、内径が約12.0インチであり、外径が約12.5インチである。段差532は、長さ約0.20インチの垂直面532aと、長さ約0.25インチの水平面532bとを有する。表面532aと532bの間の内側隅部は、半径が約0.06インチの隅肉を有する。
図5Dは、取付面120bを示す内側電極120の上面図である。取付面120bは、内側電極120と同心の環状溝550(詳細は図5Eに示されている)を含み、環状溝550は、内径が約0.24インチであり、外径が約0.44インチであり、深さが少なくとも0.1インチであり、進入縁部に、幅が約0.02インチの45°の面取りを有し、底部隅部に、半径が0.015〜0.03インチの間の隅肉を有する。
また、取付面120bは、内側電極120の中心から1.72〜1.73インチの半径位置に位置された位置合わせピン(詳細は図5Fに示されている)を受け取るように構成された2つの滑らかな(ねじ切りされていない)止まり穴540aおよび540bを含む。止まり穴540bは、止まり穴540aから時計方向に約175°ずれている。止まり穴540aおよび540bは、直径が約0.11インチであり、深さが少なくとも0.2インチであり、進入縁部に、幅が約0.02インチの45°の面取りを有し、底部隅部に、半径が最大で0.02インチの隅肉を有する。
また、取付面120bは、第1の円周列および第2の円周列に配列されたねじ付きソケットを含み、これらの円周列が、取付面120bを中心部分、中間部分、および外側部分に分割する。第1の円周列は、好ましくは、内側電極120の半径の1/4〜1/2の半径位置に位置され、さらに好ましくは、内側電極120の中心から約2.4〜2.6インチの半径方向距離に位置され、第2の円周列は、好ましくは、内側電極120の半径の1/2よりも大きい半径位置に位置され、さらに好ましくは、内側電極120の中心から約5.3〜5.5インチの半径方向距離に位置される。好ましい実施形態では、スタッド/ソケットアセンブリ303を受け取るようにそれぞれ構成された8個の7/16−28(ユニファイねじ規格;Unified Thread Standard)ねじ付きソケット520aの第1の列は、内側電極120の中心から2.49〜2.51インチの半径位置で円周方向に間隔を空けて配置され、隣接するねじ付きソケット520aの各対が互いに方位角で約45°ずれている。各ねじ付きソケット520aは、全体の深さが約0.2インチであり、ねじ切り深さは、進入縁部から少なくとも0.163インチであり、進入縁部に、幅が約0.03インチの45°の面取りを有する。ねじ付きソケット520aの1つは、止まり穴540aと方位角で位置合わせされる。スタッド/ソケットアセンブリ303を受け取るようにそれぞれ構成された8個の7/16−28(ユニファイねじ規格)ねじ付きソケット520bの第2の列は、内側電極120の中心から5.40〜5.42インチの半径位置で円周方向に間隔を空けて配置され、隣接するねじ付き穴520bの各対が互いに方位角で約45°ずれている。各ねじ付きソケット520bおよび520aは、全体の深さが約0.2インチであり、ねじ切り深さは、進入縁部から少なくとも0.163インチであり、進入縁部に、幅が約0.03インチの45°の面取りを有する。穴520bの1つは、止まり穴540aと方位角で位置合わせされる。
さらに、取付面120bは、内側電極120の中心から6.02〜6.03インチの半径位置に半径方向で位置合わせされた位置合わせピン(それぞれ530a、530b、および530c、または総称して530)(詳細は図5Gに示されている)を受け取るように構成された第1、第2、および第3の滑らかな(ねじ切りされていない)止まり穴を含む。「半径方向で位置合わせされる」とは、中心までの距離が等しいことを意味する。穴530aは、直径が0.11〜0.12インチであり、深さが少なくとも0.1インチであり、進入縁部に、幅が約0.02インチの45°の面取りを有し、底部隅部に、半径が最大で0.02インチの隅肉を有する。第1の穴530aは、止まり穴540aから時計方向に方位角で約10°ずれており、第2の穴530bは、第1の穴530aから反時計方向に方位角で約92.5°ずれており、第3の穴530cは、第1の穴530aから反時計方向に方位角で約190°ずれている。
図1Aを参照すると、内側電極120は、上面120bにあるねじ付きソケット520aに係合する複数の(例えば8個の)カムロック152と、上面120bにあるねじ付きソケット520bに係合する複数の(例えば8個の)カムロック151とによって、バッキングプレート140に固定される。
カムロック151および152は、機械的な支持点となり、バッキングプレート140との熱的接触を改良し、内側電極120の歪みを減少させ、したがって処理速度の不均一性および熱的な不均一性を減少させる。
図6Aは、熱伝導性および導電性ガスケットセットの上面図である。このガスケットセットは、複数のスポークによって接続された複数の同心リングを備える内側ガスケット6100と、複数の穴および1つの切欠を有する第1の環状ガスケット6200と、複数の切欠を有する第2の環状ガスケット6300とを備える。ガスケットは、好ましくは導電性および熱伝導性であり、例えば約10〜200mTorrの真空環境内で過剰なガス放出を生じず、粒子生成が少なく、接触点でのせん断に対応するように適合性があり、Ag、Ni、Cuなど半導体基板の寿命短縮因子である金属成分を含まない材料からなる。ガスケットは、シリコーン−アルミニウム箔サンドイッチガスケット構造、またはエラストマー−ステンレス鋼サンドイッチガスケット構造でよい。ガスケットは、プラズマエッチングなどのステップが行われる半導体製造で使用される真空環境に適合性がある熱伝導性および導電性ゴムで上面および下面を被覆されたアルミニウムシートでよい。ガスケットは、好ましくは、電極とバッキングプレートが機械的に一体にクランプされるときに圧縮することができるように適合性があるが、シャワーヘッド電極の温度サイクリング中に電極とバッキングプレートの向かい合う面が擦れ合うのを防止する。ガスケットは、Bergquist Companyから市販されている「Q−PAD II」など適切な材料から製造することができる。ガスケットの厚さは、好ましくは約0.006インチである。ガスケットの様々な形状的特徴は、連続シートからナイフカット、押し抜き、打ち抜き、または好ましくはレーザカットすることができる。ガスケットセットは、内側電極120、外側電極130、および環状シュラウド190とバッキングプレート140との間に取り付けられて、それらの間の電気的および熱的接触を提供する。
図6Bは、内側ガスケット6100の詳細を示す。内側ガスケット6100は、好ましくは、半径方向スポークによって相互接続された9個の同心リングを備える。第1のリング6101は、内径が少なくとも0.44インチ(例えば、0.60〜0.65インチの間)であり、外径が最大で1.35インチ(例えば、0.95〜1.00インチの間)である。第1のリング6101は、半径方向に延在し、方位角で等間隔に配置された7本のスポーク6112によって、第2のリング6102に接続される。各スポーク6112は、幅が約0.125インチである。
第2のリング6102は、内径が少なくとも1.35インチ(例えば、1.72〜1.78インチの間)であり、外径が最大で2.68インチ(例えば、2.25〜2.35インチの間)である。第2のリング6102は、半径方向に延在し、方位角で等間隔に配置された、それぞれ幅が約0.125インチの3本のスポーク6123a、6123b、および6123cによって、第3のリング6103に接続される。1つのスポーク6123aは、スポーク6112の1つから方位角で約180°ずれている。
第3のリング6103は、内径が少なくとも2.68インチ(例えば、3.15〜3.20インチの間)であり、外径が最大で4.23インチ(例えば、3.70〜3.75インチの間)である。第3のリングは、半径方向に延在し、方位角で等間隔に配置された4本のスポーク6134によって、第4のリング6104に接続される。各スポークは、幅が約0.125インチである。スポーク6134の1つは、スポーク6123aから反時計方向に方位角で約22.5°ずれている。また、第3のリング6103は、内側ガスケット6100の中心から1.70〜1.75インチの間の半径方向距離に位置された2つの円形穴6103xおよび6103yを含む。円形穴6103xおよび6103yは、直径が約0.125インチである。円形穴6103xは、スポーク6123aから反時計方向に方位角で約5°ずれている。円形穴6103yは、スポーク6123aから方位角で約180°ずれている。円形穴6103xおよび6103yは、位置合わせピンを受け取るように構成される。
第4のリング6104は、内径が少なくとも4.23インチ(例えば、4.68〜4.73インチの間)であり、外径が最大で5.79インチ(例えば、5.27〜5.32インチの間)である。第4のリング6104は、半径方向に延在し、方位角で等間隔に配置された1組の8本のスポーク6145aと、半径方向に延在し、方位角で等間隔に配置された別の組の8本のスポーク6145bとによって、第5のリング6105に接続される。スポーク6145bの1つは、スポーク6123aから反時計方向に方位角で約8.5°ずれている。スポーク6145aの1つは、スポーク6123aから時計方向に方位角で約8.5°ずれている。各スポーク6145aおよび6145bは、幅が約0.125インチである。スポーク6145aおよび6145bは、半径方向内側に延在し、第4のリング6104を8個の円弧状区域に分離し、各区域が約28°の中心角を有する。
第5のリング6105は、内径が少なくとも5.79インチ(例えば、6.33〜6.38インチの間)であり、外径が最大で7.34インチ(例えば、6.71〜6.76インチの間)である。第5のリング6105は、半径方向に延在し、方位角で等間隔に配置された4本のスポーク6156によって、第6のリング6106に接続される。スポーク6156の1つは、スポーク6123aから方位角で約90°ずれている。各スポーク6156は、幅が約0.125インチである。
第6のリング6106は、内径が少なくとも7.34インチ(例えば、7.90〜7.95インチの間)であり、外径が最大で8.89インチ(例えば、8.23〜8.28インチの間)である。第6のリング6106は、半径方向に延在し、方位角で等間隔に配置された1組の4本のスポーク6167aと、半径方向に延在し、方位角で等間隔に配置された別の組の4本のスポーク6167bとによって、第7のリング6107に接続される。スポーク6167bの1つは、スポーク6123aから反時計方向に方位角で約6.4°ずれている。スポーク6167aの1つは、スポーク6123aから時計方向に方位角で約6.4°ずれている。各スポーク6167aおよび6167bは、幅が約0.125インチである。
第7のリング6107は、内径が少なくとも8.89インチ(例えば、9.32〜9.37インチの間)であり、外径が最大で10.18インチ(例えば、9.65〜9.70インチの間)である。第7のリング6107は、半径方向に延在し、方位角で等間隔に配置された8本のスポーク6178aの組と、半径方向に延在し、方位角で等間隔に配置された8本のスポーク6178bの別の組とによって、第8のリング6108に接続される。スポーク6178bの1つは、スポーク6123aから反時計方向に方位角で約5°ずれている。スポーク6167aの1つは、スポーク6123aから時計方向に方位角で約5°ずれている。各スポーク6167aおよび6167bは、幅が約0.125インチである。
第8のリング6108は、内径が少なくとも10.18インチ(例えば、10.59〜10.64インチの間)であり、外径が最大で11.46インチ(例えば、10.95〜11.00インチの間)である。第8のリング6108は、半径方向に延在し、方位角で等間隔に配置された8本のスポーク6189aの組と、半径方向に延在し、方位角で等間隔に配置された8本のスポーク6189bの別の組とによって、第9のリング6109に接続される。スポーク6189bの1つは、スポーク6123aから反時計方向に方位角で約5°ずれている。スポーク6189aの1つは、スポーク6123aから時計方向に方位角で約5°ずれている。各スポーク6167aおよび6167bは、幅が約0.125インチである。約6°インチの中心角を有する8個の円弧状切欠6108hが、第8のリング6108を8個の区域に分離する。切欠6108hは、方位角で等間隔に配置される。切欠6108hの1つは、スポーク6123aと方位角で位置合わせされる。
第9のリング6109は、内径が11.92〜11.97インチの間であり、外径が12.45〜12.50インチの間である。第9のリング6109は、その内周縁に、小さな直径の3個の切欠6109a、6109b、および6109cを有する。切欠6109bおよび6109cはそれぞれ、方位角で、切欠6109aから反時計方向に約92.5°および反時計方向に約190°ずれている。切欠6109cは、スポーク6123aと方位角で位置合わせされる。切欠6109a、6109b、および6109cの中心は、内側ガスケット6100の中心から約6.02インチの半径方向距離に位置される。切欠6109a、6109b、および6109cは、内向きであり、直径が約0.125インチの半円形の外周縁を含み、直線状の半径方向縁部を有する内側開口を含む。また、第9のリング6109は、その外周縁に、大きな直径の丸みの付いた外向きの3個の切欠6109x、6109y、および6109zを有する。切欠6109x、6109y、および6109zは、方位角で等間隔に配置され、直径が約0.72インチである。それらの中心は、内側ガスケット6100の中心から約6.48インチの半径方向距離に位置される。切欠6109zは、スポーク6123aから時計回りに方位角で約37.5°ずれている。
第1の環状ガスケット6200は、内径が約14.06インチであり、外径が約16.75インチである。第1の環状ガスケット6200は、方位角で等間隔に配置された8個の円形穴6209aを有する。穴6209aの中心は、第1の環状ガスケット6200の中心から約7.61インチの半径方向距離に位置される。穴6209aは、直径が約0.55インチである。(本明細書で以下に詳細に説明する)シャワーヘッド電極アセンブリ100に設置されるとき、穴6209aの1つは、内側ガスケット6100のスポーク6123aと方位角で位置合わせされる。また、第1の環状ガスケット6200は、第1の環状ガスケット6200の内周縁に、丸みの付いた内向きの切欠6209bを1つ有する。この切欠6209bの中心は、第1の環状ガスケット6200の中心から約6.98インチの距離に位置される。切欠6209bは、直径が約0.92インチである。(本明細書で以下に詳細に説明する)シャワーヘッド電極アセンブリ100に設置されるとき、切欠6209bは、スポーク6123aから反時計方向に方位角で約202.5°ずれている。さらに、第1の環状ガスケット6200は、ツールアクセスを可能にするように構成された3個の円形穴6210、6220、および6230を有する。これらの穴は、約7.93インチの半径方向距離に位置され、直径が約0.14インチである。穴6210、6220、および6230はそれぞれ、切欠6209bから時計方向に方位角で約7.5°、約127.5°、および約252.5°ずれている。
第2の環状ガスケット6300は、内径が約17.29インチであり、外径が約18.69インチである。第2の環状ガスケット6300は、外周縁上に方位角で等間隔に配置された、丸みの付いた外向きの8個の切欠6301を有する。切欠6301の中心は、第3の環状ガスケット6300の中心から約9.30インチの半径方向距離に位置される。切欠6301は、直径が約0.53インチである。
内側電極120がチャンバ100内に設置されるとき、まず、1つの位置合わせリングと、2つの内側位置合わせピンと、3つの外側位置合わせピンとが、それぞれ、環状溝550と、穴540aおよび540bと、穴530とに挿入される。次いで、内側ガスケット6100が内側電極120に取り付けられる。穴6103xおよび6103yは、内側位置合わせピンに対応する。内側ガスケット6100の中心穴は、位置合わせリングと、内側電極120の中心ガス注入穴とに対応する。内側ガスケット6100内の9個のリングとスポークの間の各開口は、内側電極120のガス注入穴の第1の列から第8の列に対応する。第9のリングにある切欠6109a、6109b、および6109cは、それぞれ穴530a、530b、および530cに対応する。8個のスタッド/ソケットアセンブリ303が、8個のねじ付きソケット520a内にねじ留めされ、8個のスタッド/ソケットアセンブリ303が、8個のねじ付きソケット520b内にねじ留めされて、内側ガスケット6100を間に挟んで内側電極120をバッキングプレート140に固定する。スタッド/ソケットアセンブリ303は、中心と外縁部の間の位置で内側電極120を支持し、バッキングプレート140との熱的接触を改良し、基板の処理中の温度サイクリングによって引き起こされる内側電極120の歪みを減少させる。内側電極120は、カムシャフト150を回転させることによって、バッキングプレート140に当接して固定される。8個のスタッド/ソケットアセンブリ303が、外側電極130にある8個のねじ付きソケット内にねじ留めされる。第1の環状ガスケット6200が、外側電極130に配置される。8個のスタッド/ソケットアセンブリ303が、環状シュラウド190にある8個のねじ付きソケット内にねじ留めされる。第2の環状ガスケット6300が環状シュラウド190の上に配置される。外側電極130および環状シュラウド190は、カムシャフト160を回転させることによって、バッキングプレート140に固定される。8個の穴6209aは、外側電極130にねじ留めされる8個のスタッド/ソケットアセンブリ303に対応する。切欠6301は、シュラウド190にねじ留めされる8個のスタッド/ソケットアセンブリ303に対応する。
内側ガスケット6100のリング6101〜6109およびスポークは、内側電極120にあるガス注入穴106、カムロック151および152、位置合わせリング、または位置合わせピンを妨げない限り、任意の適切なパターンで配列することができる。
シャワーヘッド電極アセンブリ、シャワーヘッド電極、外側電極、ガスケットセット、およびガス穴パターンを、それらの具体的な実施形態を参照して詳細に説明してきたが、添付の実用新案登録請求の範囲から逸脱することなく様々な変更および修正を施すことができ、また均等形態を採用することもできることが当業者には明らかであろう。

Claims (17)

  1. 平行平板型容量結合プラズマ処理チャンバ内のシャワーヘッド電極アセンブリ用のシャワーヘッド電極であって、前記シャワーヘッド電極アセンブリが、上面と下面の間に延在するガス注入穴を有するバッキングプレートと、複数のスタッド/ソケットアセンブリおよびカムシャフトと、位置合わせリングと、複数の位置合わせピンとを備え、前記シャワーヘッド電極が、
    前記シャワーヘッド電極の下面のプラズマ露出面と、
    前記シャワーヘッド電極の上面の取付面と、
    前記シャワーヘッド電極の前記プラズマ露出面と前記取付面の間に延在し、前記バッキングプレートの前記ガス注入穴に合致するパターンで配列された複数のガス注入穴とを備え、
    前記ガス注入穴は、0.04インチ以下の直径を有し、前記電極の中心にある1つの中心ガス注入穴と、同心の第1から第8の各列のガス注入穴と有するパターンで配列され、
    前記第1の列は、前記電極の中心から約0.6〜0.7インチの半径方向距離に位置された7個のガス注入穴を有し、
    前記第2の列は、前記電極の中心から約1.3〜1.4インチの半径方向距離に位置された17個のガス注入穴を有し、
    前記第3の列は、前記電極の中心から約2.1〜2.2インチの半径方向距離に位置された28個のガス注入穴を有し、
    前記第4の列は、前記電極の中心から約2.8〜3.0インチの半径方向距離に位置された40個のガス注入穴を有し、
    前記第5の列は、前記電極の中心から約3.6〜3.7インチの半径方向距離に位置された48個のガス注入穴を有し、
    前記第6の列は、前記電極の中心から約4.4〜4.5インチの半径方向距離に位置された56個のガス注入穴を有し、
    前記第7の列は、前記電極の中心から約5.0〜5.1インチの半径方向距離に位置された64個のガス注入穴を有し、
    前記第8の列は、前記電極の中心から約5.7〜5.8インチの半径方向距離に位置された72個のガス注入穴を有し、
    各列にある前記ガス注入穴が、方位角で等間隔に配置される
    シャワーヘッド電極。
  2. 前記シャワーヘッド電極が、シャワーヘッド電極アセンブリの内側電極であり、前記シャワーヘッド電極アセンブリが、内側方向に延在するフランジと、前記バッキングプレートの下面の開口に係合するスタッド/ソケットアセンブリを受け取るように構成されたねじ付きソケットとを有する外側電極と、前記バッキングプレートの下面の開口に係合するスタッド/ソケットアセンブリを受け取るように構成された複数のねじ付きソケットを有する環状シュラウドとを備え、前記内側電極が、
    前記外側電極の前記内側方向に延在するフランジと対合するように構成された、前記内側電極の外周縁にあるただ1つの環状の段差と、
    前記位置合わせピンを受け取るように構成された、前記取付面にある複数のねじ切りされていない止まり穴と、
    前記位置合わせリングを受け取るように構成された前記取付面にある環状溝と、
    前記スタッド/ソケットアセンブリを受け取るように構成された、前記取付面にある複数のねじ付きソケットとを備え、前記スタッド/ソケットアセンブリが、前記カムシャフトに係合し、クランプリングを使用することなく前記内側電極を前記バッキングプレートに取り付ける
    請求項1に記載のシャワーヘッド電極。
  3. 前記複数のねじ付きソケットが、等間隔に配置された8個のねじ付きソケットの第1の円周列と、等間隔に配置された8個のねじ付きソケットの第2の円周列とを備え、前記ねじ付きソケットがそれぞれ、7/16−28のねじサイズでねじを切られており、ねじ切り深さが少なくとも0.163インチであり、前記第1の円周列が、前記内側電極の中心から約2.4〜2.6インチの半径方向距離に位置され、前記第2の円周列が、前記内側電極の中心から約5.3〜5.5インチの半径方向距離に位置される請求項2に記載のシャワーヘッド電極。
  4. 前記ねじ付きソケットが、前記内側電極の半径の1/4〜1/2の半径位置に位置された第1の円周列にある8個のねじ付きソケットと、前記内側電極の半径の1/2よりも大きい半径位置に位置された第2の円周列にある8個のねじ付きソケットとを備える請求項2に記載のシャワーヘッド電極。
  5. 前記位置合わせピンを受け取るように構成された前記複数のねじ切りされていない止まり穴が、第1の組の穴および第2の組の穴を備え、
    前記第1の組の穴は、2つの穴を備え、前記2つの穴が、(a)前記内側電極の中心から約1.7〜1.8インチの半径方向距離に位置され、(b)半径方向で位置合わせされ、方位角で互いに約175°ずれており、(c)直径が約0.10〜0.12インチであり、(d)深さが少なくとも0.2インチであり、
    前記第2の組の穴は、第1の穴と、第2の穴と、第3の穴とを備え、前記穴が、(a)前記内側電極の中心から約6.0〜6.1インチの半径方向距離に位置され、(b)前記第1の穴が、前記第1の組の1つの穴から時計方向に方位角で約10°ずれており、(c)前記第2の穴および前記第3の穴が、前記第1の穴と半径方向で位置合わせされ、前記第1の穴から反時計方向に方位角で約92.5°および約190°ずれており、(d)直径が約0.11〜0.12インチであり、(e)深さが少なくとも0.1インチである
    請求項2に記載のシャワーヘッド電極。
  6. 前記内側電極が、約0.4インチの均一な厚さであり、直径が約12.5インチの平坦な円板であり、前記環状の段差は、内径が約12.0インチであり、長さ約0.2インチの垂直面を有し、前記環状溝は、外径が約0.44インチであり、内径が約0.24インチであり、深さが少なくとも0.1インチであり、前記内側電極が、0.005〜0.02Ω・cmの抵抗率と、合計で10ppm未満の重金属汚染物質とを有する単結晶シリコンまたは多結晶シリコンのプレートから製造される
    請求項2に記載のシャワーヘッド電極。
  7. 請求項2に記載の内側電極を備えるシャワーヘッド電極アセンブリであって、さらに、
    前記内側電極の各ねじ付きソケット内にねじ留めされたスタッド/ソケットアセンブリと、
    カムシャフトを中に取り付けられたボアを有するバッキングプレートとを備え、
    前記シャワーヘッド電極が、前記カムシャフトと係合された前記スタッド/ソケットアセンブリのみによって前記バッキングプレートに固定される
    シャワーヘッド電極アセンブリ。
  8. 前記シャワーヘッド電極の前記ねじ付きソケット内にねじ留めされたスタッド/ソケットアセンブリの2つが単一のカムシャフトと係合する請求項7に記載のシャワーヘッド電極アセンブリ。
  9. 請求項2に記載の内側電極を備えるシャワーヘッド電極アセンブリであって、さらに、
    前記外側電極の各ねじ付きソケット内にねじ留めされたスタッド/ソケットアセンブリを備え、前記外側電極が、外側フランジと内側フランジを含み、前記内側フランジが、前記内側電極の前記環状の段差に被さり、
    シャワーヘッド電極アセンブリがさらに、前記環状シュラウドの各ねじ付きソケット内にねじ留めされたスタッド/ソケットアセンブリを備え、前記環状シュラウドが、前記外側電極の前記外側フランジに被さる内側フランジを有し、
    前記外側電極および前記環状シュラウドが、前記カムシャフトと係合された前記スタッド/ソケットアセンブリによって前記バッキングプレートに固定される
    シャワーヘッド電極アセンブリ。
  10. 前記外側電極のねじ付きソケット内にねじ留めされたスタッド/ソケットアセンブリと、前記環状シュラウドのねじ付きソケット内にねじ留めされたスタッド/ソケットアセンブリとが、単一のカムシャフトと係合する請求項9に記載のシャワーヘッド電極アセンブリ。
  11. 請求項9に記載のシャワーヘッド電極アセンブリを組み立てる方法であって、
    前記内側電極の前記取付面にある前記環状溝内に位置合わせリングを挿入するステップと、
    前記内側電極の前記取付面にある前記複数のねじ切りされていない止まり穴内に位置合わせピンを挿入するステップと、
    前記内側電極の前記取付面上に内側ガスケットを取り付けるステップと、
    前記内側ガスケットを取り付けられた前記内側電極を、カムロックによって前記バッキングプレートに固定するステップと、
    前記外側電極の前記上面に第1の環状ガスケットを配置するステップと、
    前記環状シュラウド上に第2の環状ガスケットを配置するステップと、
    前記第1の環状ガスケットを取り付けられた前記外側電極と、前記第2の環状ガスケットを取り付けられた前記環状シュラウドとを、カムロックによって前記バッキングプレートに固定するステップと
    を含む方法。
  12. シャワーヘッド電極アセンブリに取り付けられるように構成されたガスケットセットの熱伝導性および導電性ガスケットであって、前記シャワーヘッド電極アセンブリが、スタッド/ソケットアセンブリを受け取るための複数のねじ付きソケットを有する内側電極と、スタッド/ソケットアセンブリを受け取るための複数のねじ付きソケットを有する外側電極と、スタッド/ソケットアセンブリを受け取るための複数のねじ付きソケットを有する環状シュラウドとを備え、
    前記ガスケットセットが、
    前記内側電極に取り付けられるように構成された内側ガスケットであって、複数のスポークによって接続された複数の同心偏平リングを備える内側ガスケットと、
    前記内側ガスケットを取り囲み、前記内側ガスケットと同心状であり、前記外側電極に取り付けられるように構成された第1の環状ガスケットであって、複数の切欠を有する偏平な環状リングを備える第1の環状ガスケットと、
    前記第1の環状ガスケットを取り囲み、前記第1の環状ガスケットと同心状であり、前記環状シュラウドに取り付けられるように構成された第2の環状ガスケットであって、複数の切欠を有する偏平な環状リングを備える第2の環状ガスケットとからなり、
    前記ガスケットが、ガス注入穴、位置合わせピン穴、前記位置合わせリング溝、および/または前記ねじ付きソケットに適応する
    熱伝導性および導電性ガスケット。
  13. 前記内側ガスケットの前記同心偏平リングが連続的である、またはセグメント化されている請求項12に記載のガスケット。
  14. 前記内側ガスケットが、厚さが約0.006インチであり、幅が少なくとも0.1インチである少なくとも6個の同心偏平な第1〜第6のリングを備え、前記第1のリングは、内径が少なくとも0.44インチであり、外径が最大で1.35インチであり、前記第2のリングは、内径が少なくとも1.35インチであり、外径が最大で2.68インチであり、前記第3のリングは、内径が少なくとも2.68インチであり、外径が最大で4.23インチであり、前記第4のリングは、内径が少なくとも4.23インチであり、外径が最大で5.79インチであり、前記第5のリングは、内径が少なくとも5.79インチであり、外径が最大で7.34インチであり、前記第6のリングは、内径が少なくとも7.34インチであり、外径が最大で8.89インチである請求項12に記載のガスケット。
  15. 前記内側ガスケットが、9個の同心偏平な第1〜第9のリングを備え、前記第7のリングは、内径が少なくとも8.89インチであり、外径が最大で10.18インチであり、前記第8のリングは、内径が少なくとも10.18インチであり、外径が最大で11.46インチであり、前記第9のリングは、内径が11.92〜11.97インチの間であり、外径が12.45〜12.50インチの間である請求項14に記載のガスケット。
  16. (a)前記第1の環状ガスケットは、内周縁にある1つの切欠と、スタッド/ソケットアセンブリを収容するように構成された第1の組の8個の穴と、ツールアクセスを可能にするように構成された第2の組の3個の穴とを有し、前記第1の組の穴の直径が、前記第2の組の穴の直径よりも大きく、
    (b)前記第2の環状ガスケットは、スタッド/ソケットアセンブリを収容するように構成された外周縁にある8個の切欠を有し、内周縁には切欠を有さない
    請求項12に記載のガスケット。
  17. (a)前記第1の環状ガスケットは、厚さが約0.006インチであり、幅が約1.3インチであり、内径が約14.06インチであり、外径が約16.75インチであり、
    (b)前記第2の環状ガスケットは、厚さが約0.006インチであり、幅が約0.7インチであり、内径が約17.29インチであり、外径が約18.69インチである
    請求項12に記載のガスケット。
JP2013600061U 2010-09-03 2011-08-25 シャワーヘッド電極およびガスケット Expired - Lifetime JP3189241U (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/875,869 US8573152B2 (en) 2010-09-03 2010-09-03 Showerhead electrode
US12/875,869 2010-09-03
PCT/US2011/001500 WO2012030382A2 (en) 2010-09-03 2011-08-25 Showerhead electrode

Publications (1)

Publication Number Publication Date
JP3189241U true JP3189241U (ja) 2014-03-06

Family

ID=45769806

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2013600061U Expired - Lifetime JP3189241U (ja) 2010-09-03 2011-08-25 シャワーヘッド電極およびガスケット

Country Status (7)

Country Link
US (1) US8573152B2 (ja)
JP (1) JP3189241U (ja)
KR (1) KR200478781Y1 (ja)
CN (1) CN203481190U (ja)
SG (1) SG188356A1 (ja)
TW (1) TWI533372B (ja)
WO (1) WO2012030382A2 (ja)

Families Citing this family (428)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8272346B2 (en) * 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR200464037Y1 (ko) 2009-10-13 2012-12-07 램 리써치 코포레이션 샤워헤드 전극 어셈블리의 에지-클램핑되고 기계적으로 패스닝된 내부 전극
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9245717B2 (en) 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
US8562785B2 (en) * 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9083182B2 (en) 2011-11-21 2015-07-14 Lam Research Corporation Bypass capacitors for high voltage bias power in the mid frequency RF range
US9263240B2 (en) * 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
WO2013078098A1 (en) * 2011-11-23 2013-05-30 Lam Research Corporation Multi zone gas injection upper electrode system
SG11201402447TA (en) 2011-11-24 2014-06-27 Lam Res Corp Plasma processing chamber with flexible symmetric rf return strap
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9023734B2 (en) * 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
DK2983763T3 (en) * 2013-04-10 2017-08-28 Sanofi Sa DRIVING MECHANISM FOR A PHARMACEUTICAL SUPPLY DEVICE
JP2016522539A (ja) * 2013-04-17 2016-07-28 東京エレクトロン株式会社 均一なプラズマ密度を有する容量結合プラズマ装置
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
CN103878744B (zh) * 2014-04-15 2016-03-23 成都四威高科技产业园有限公司 一种快速穿套螺钉垫片的方法
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9911579B2 (en) * 2014-07-03 2018-03-06 Applied Materials, Inc. Showerhead having a detachable high resistivity gas distribution plate
JP6298373B2 (ja) * 2014-07-11 2018-03-20 東京エレクトロン株式会社 プラズマ処理装置および上部電極アセンブリ
JP6375163B2 (ja) * 2014-07-11 2018-08-15 東京エレクトロン株式会社 プラズマ処理装置および上部電極アセンブリ
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9847599B2 (en) * 2014-10-17 2017-12-19 Raytheon Company Longitudinal, tolerance-mitigating cam-lock fastening system
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
TWD177995S (zh) * 2015-11-18 2016-09-01 Asm知識產權私人控股有限公司 用於半導體製造設備之氣體供應板
US10358722B2 (en) * 2015-12-14 2019-07-23 Lam Research Corporation Showerhead assembly
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10403476B2 (en) 2016-11-09 2019-09-03 Lam Research Corporation Active showerhead
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR101855654B1 (ko) * 2016-12-23 2018-05-08 주식회사 테스 대면적 샤워헤드 어셈블리
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10669048B1 (en) * 2017-06-15 2020-06-02 United Launch Alliance, L.L.C. Mechanism for increasing jettison clearance
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US20190048467A1 (en) * 2017-08-10 2019-02-14 Applied Materials, Inc. Showerhead and process chamber incorporating same
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
CN109958681B (zh) * 2017-12-22 2020-12-11 中微半导体设备(上海)股份有限公司 一种脆性材料零件安装装置及其应用
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) * 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
JP1624668S (ja) * 2018-06-08 2019-02-18
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR20200040385A (ko) 2018-10-10 2020-04-20 (주) 일하하이텍 샤워 헤드용 가스켓
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102700366B1 (ko) * 2019-01-29 2024-08-30 주성엔지니어링(주) 샤워헤드 및 이를 포함하는 기판처리장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US10954595B2 (en) * 2019-07-30 2021-03-23 Applied Materials, Inc. High power showerhead with recursive gas flow distribution
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102168313B1 (ko) * 2019-09-09 2020-10-21 김홍석 플라즈마챔버 전극 체결부재
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202115815A (zh) * 2019-10-04 2021-04-16 美商應用材料股份有限公司 用於易碎板以防止破裂的氣體分配組件安裝
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112922935B (zh) * 2019-12-05 2023-06-30 中微半导体设备(上海)股份有限公司 连接结构和等离子体处理装置
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
US20210331183A1 (en) * 2020-04-24 2021-10-28 Applied Materials, Inc. Fasteners for coupling components of showerhead assemblies
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11898245B2 (en) * 2021-02-26 2024-02-13 Applied Materials, Inc. High throughput and metal contamination control oven for chamber component cleaning process
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
KR102698487B1 (ko) * 2022-03-07 2024-08-23 강경식 고내구성 스터드 소켓 및 이의 조립방법
US20240254624A1 (en) * 2023-01-27 2024-08-01 Applied Materials, Inc. Plate assemblies, process kits, and processing chambers for semiconductor manufacturing

Family Cites Families (137)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4585920A (en) 1982-05-21 1986-04-29 Tegal Corporation Plasma reactor removable insert
US4612077A (en) 1985-07-29 1986-09-16 The Perkin-Elmer Corporation Electrode for plasma etching system
US4908095A (en) 1988-05-02 1990-03-13 Tokyo Electron Limited Etching device, and etching method
US5074456A (en) 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
JPH04355917A (ja) 1990-10-12 1992-12-09 Seiko Epson Corp 半導体装置の製造装置
US5356515A (en) 1990-10-19 1994-10-18 Tokyo Electron Limited Dry etching method
USD363464S (en) 1992-08-27 1995-10-24 Tokyo Electron Yamanashi Limited Electrode for a semiconductor processing apparatus
KR100276093B1 (ko) 1992-10-19 2000-12-15 히가시 데쓰로 플라스마 에칭방법
KR100324792B1 (ko) 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
KR950020993A (ko) 1993-12-22 1995-07-26 김광호 반도체 제조장치
US5680013A (en) 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US5589002A (en) 1994-03-24 1996-12-31 Applied Materials, Inc. Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing
JP3468859B2 (ja) 1994-08-16 2003-11-17 富士通株式会社 気相処理装置及び気相処理方法
US5746875A (en) 1994-09-16 1998-05-05 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5590975A (en) 1994-12-06 1997-01-07 Horntvedt; Earl Fastening assembly
US6086710A (en) 1995-04-07 2000-07-11 Seiko Epson Corporation Surface treatment apparatus
US5569356A (en) 1995-05-19 1996-10-29 Lam Research Corporation Electrode clamping assembly and method for assembly and use thereof
US5534751A (en) 1995-07-10 1996-07-09 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
US5792269A (en) 1995-10-31 1998-08-11 Applied Materials, Inc. Gas distribution for CVD systems
USD411516S (en) 1996-03-15 1999-06-29 Tokyo Electron Limited Gas diffusion plate for electrode of semiconductor wafer processing apparatus
JP3728021B2 (ja) 1996-06-28 2005-12-21 日清紡績株式会社 プラズマエッチング電極及びその製造方法
JP3310171B2 (ja) 1996-07-17 2002-07-29 松下電器産業株式会社 プラズマ処理装置
US5882411A (en) * 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
US6444037B1 (en) 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
US5740009A (en) 1996-11-29 1998-04-14 Applied Materials, Inc. Apparatus for improving wafer and chuck edge protection
TW415970B (en) 1997-01-08 2000-12-21 Ebara Corp Vapor-phase film growth apparatus and gas ejection head
USD412513S (en) 1997-01-29 1999-08-03 Tokyo Electron Limited Upper electrode for manufacturing semiconductors
KR100434790B1 (ko) 1997-05-20 2004-06-07 동경 엘렉트론 주식회사 처리 장치
US6024799A (en) 1997-07-11 2000-02-15 Applied Materials, Inc. Chemical vapor deposition manifold
JP3480271B2 (ja) 1997-10-07 2003-12-15 東京エレクトロン株式会社 熱処理装置のシャワーヘッド構造
US6110556A (en) 1997-10-17 2000-08-29 Applied Materials, Inc. Lid assembly for a process chamber employing asymmetric flow geometries
US6079356A (en) * 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6039836A (en) 1997-12-19 2000-03-21 Lam Research Corporation Focus rings
USD420022S (en) 1997-12-24 2000-02-01 Applied Materials, Inc. Electrostatic chuck with improved spacing and charge migration reduction mask
US6050506A (en) 1998-02-13 2000-04-18 Applied Materials, Inc. Pattern of apertures in a showerhead for chemical vapor deposition
US5997649A (en) 1998-04-09 1999-12-07 Tokyo Electron Limited Stacked showerhead assembly for delivering gases and RF power to a reaction chamber
US6086677A (en) * 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6302964B1 (en) * 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6550126B1 (en) 1998-07-30 2003-04-22 Sony Electronics, Inc Method for mounting electrode assembly
US6228208B1 (en) 1998-08-12 2001-05-08 Applied Materials, Inc. Plasma density and etch rate enhancing semiconductor processing chamber
US6050216A (en) 1998-08-21 2000-04-18 M.E.C. Technology, Inc. Showerhead electrode for plasma processing
US6178919B1 (en) 1998-12-28 2001-01-30 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
WO2000045425A1 (en) 1999-02-01 2000-08-03 Tokyo Electron Limited Etching system and etching chamber
US20020179245A1 (en) 1999-03-17 2002-12-05 Toshio Masuda Plasma processing apparatus and maintenance method therefor
US6305677B1 (en) 1999-03-30 2001-10-23 Lam Research Corporation Perimeter wafer lifting
US6173673B1 (en) 1999-03-31 2001-01-16 Tokyo Electron Limited Method and apparatus for insulating a high power RF electrode through which plasma discharge gases are injected into a processing chamber
US6200415B1 (en) 1999-06-30 2001-03-13 Lam Research Corporation Load controlled rapid assembly clamp ring
US6206972B1 (en) 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6495233B1 (en) 1999-07-09 2002-12-17 Applied Materials, Inc. Apparatus for distributing gases in a chemical vapor deposition system
JP4323021B2 (ja) 1999-09-13 2009-09-02 株式会社エフオーアイ プラズマ処理装置
USD441348S1 (en) 1999-11-30 2001-05-01 Applied Materials, Inc. Process chamber lid
US6350317B1 (en) 1999-12-30 2002-02-26 Lam Research Corporation Linear drive system for use in a plasma processing system
JP4437351B2 (ja) 2000-01-14 2010-03-24 キヤノンアネルバ株式会社 プラズマエッチング装置
US6477980B1 (en) 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6170432B1 (en) 2000-01-24 2001-01-09 M.E.C. Technology, Inc. Showerhead electrode assembly for plasma processing
US6237528B1 (en) 2000-01-24 2001-05-29 M.E.C. Technology, Inc. Showerhead electrode assembly for plasma processing
US6383931B1 (en) 2000-02-11 2002-05-07 Lam Research Corporation Convertible hot edge ring to improve low-K dielectric etch
JP3411539B2 (ja) 2000-03-06 2003-06-03 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
US7196283B2 (en) 2000-03-17 2007-03-27 Applied Materials, Inc. Plasma reactor overhead source power electrode with low arcing tendency, cylindrical gas outlets and shaped surface
JP4592916B2 (ja) 2000-04-25 2010-12-08 東京エレクトロン株式会社 被処理体の載置装置
US6553932B2 (en) 2000-05-12 2003-04-29 Applied Materials, Inc. Reduction of plasma edge effect on plasma enhanced CVD processes
KR100638916B1 (ko) 2000-05-17 2006-10-25 동경 엘렉트론 주식회사 처리 장치 및 그 유지 보수 방법
US6461435B1 (en) 2000-06-22 2002-10-08 Applied Materials, Inc. Showerhead with reduced contact area
US6753498B2 (en) 2000-07-20 2004-06-22 Tokyo Electron Limited Automated electrode replacement apparatus for a plasma processing system
US6412437B1 (en) 2000-08-18 2002-07-02 Micron Technology, Inc. Plasma enhanced chemical vapor deposition reactor and plasma enhanced chemical vapor deposition process
US6391787B1 (en) 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
JP3775987B2 (ja) 2000-12-26 2006-05-17 松下電器産業株式会社 プラズマ処理装置
US7211170B2 (en) 2001-04-02 2007-05-01 Lam Research Corporation Twist-N-Lock wafer area pressure ring and assembly
US6818096B2 (en) 2001-04-12 2004-11-16 Michael Barnes Plasma reactor electrode
KR100400044B1 (ko) 2001-07-16 2003-09-29 삼성전자주식회사 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드
US6786175B2 (en) 2001-08-08 2004-09-07 Lam Research Corporation Showerhead electrode design for semiconductor processing reactor
US6586886B1 (en) 2001-12-19 2003-07-01 Applied Materials, Inc. Gas distribution plate electrode for a plasma reactor
US20030127806A1 (en) 2001-12-27 2003-07-10 Belchuk Mark A. Alignment feature for a fuel cell seal
US6827815B2 (en) 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
US7479304B2 (en) 2002-02-14 2009-01-20 Applied Materials, Inc. Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate
US20030185729A1 (en) 2002-03-29 2003-10-02 Ho Ko Electrode assembly for processing a semiconductor substrate and processing apparatus having the same
US6936135B2 (en) 2002-04-17 2005-08-30 Lam Research Corporation Twist-N-Lock wafer area pressure ring and assembly for reducing particulate contaminant in a plasma processing chamber
USD490450S1 (en) 2002-05-20 2004-05-25 Tokyo Electron Limited Exhaust ring for semiconductor equipment
EP1512164B1 (en) 2002-05-23 2016-01-06 Lam Research Corporation Multi-part electrode for a semiconductor processing plasma reactor and method of replacing a portion of a mutli-part electrode
USD493873S1 (en) 2002-05-24 2004-08-03 Tokyo Electron Limited Heating gas supplier for semiconductor manufacturing equipment
US7166200B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
JP4753276B2 (ja) 2002-11-26 2011-08-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US7270713B2 (en) 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
JP4472372B2 (ja) 2003-02-03 2010-06-02 株式会社オクテック プラズマ処理装置及びプラズマ処理装置用の電極板
US20040173313A1 (en) 2003-03-03 2004-09-09 Bradley Beach Fire polished showerhead electrode
US6942753B2 (en) 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US7296534B2 (en) 2003-04-30 2007-11-20 Tokyo Electron Limited Hybrid ball-lock attachment apparatus
US7083702B2 (en) 2003-06-12 2006-08-01 Applied Materials, Inc. RF current return path for a large area substrate plasma reactor
JP2005019606A (ja) 2003-06-25 2005-01-20 Anelva Corp プラズマ処理装置におけるガスシャワーヘッドまたはターゲットプレートを電極に固定する装置
US20050116427A1 (en) 2003-11-25 2005-06-02 Francis Seidel Corrugated gasket core with profiled surface
US7645341B2 (en) 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
JP4403919B2 (ja) 2004-04-01 2010-01-27 株式会社Sumco 耐久性に優れたプラズマエッチング用シリコン電極板
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US7712434B2 (en) 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US7988816B2 (en) 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
US7740737B2 (en) 2004-06-21 2010-06-22 Tokyo Electron Limited Plasma processing apparatus and method
JP4550507B2 (ja) 2004-07-26 2010-09-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
US20060042754A1 (en) 2004-07-30 2006-03-02 Tokyo Electron Limited Plasma etching apparatus
US20060043067A1 (en) 2004-08-26 2006-03-02 Lam Research Corporation Yttria insulator ring for use inside a plasma chamber
US7244311B2 (en) 2004-10-13 2007-07-17 Lam Research Corporation Heat transfer system for improved semiconductor processing uniformity
JP2006128000A (ja) 2004-10-29 2006-05-18 Advanced Lcd Technologies Development Center Co Ltd プラズマ処理装置
US20060108069A1 (en) 2004-11-19 2006-05-25 Samsung Electronics Co., Ltd. Plasma reaction chamber and captive silicon electrode plate for processing semiconductor wafers
US7430986B2 (en) 2005-03-18 2008-10-07 Lam Research Corporation Plasma confinement ring assemblies having reduced polymer deposition characteristics
KR100621778B1 (ko) 2005-06-17 2006-09-11 삼성전자주식회사 플라즈마 처리 장치
US7713379B2 (en) 2005-06-20 2010-05-11 Lam Research Corporation Plasma confinement rings including RF absorbing material for reducing polymer deposition
US7431788B2 (en) 2005-07-19 2008-10-07 Lam Research Corporation Method of protecting a bond layer in a substrate support adapted for use in a plasma processing system
US20070032081A1 (en) 2005-08-08 2007-02-08 Jeremy Chang Edge ring assembly with dielectric spacer ring
JP4628900B2 (ja) 2005-08-24 2011-02-09 株式会社日立ハイテクノロジーズ プラズマ処理装置
US8679252B2 (en) 2005-09-23 2014-03-25 Lam Research Corporation Actively heated aluminum baffle component having improved particle performance and methods of use and manufacture thereof
US8789493B2 (en) 2006-02-13 2014-07-29 Lam Research Corporation Sealed elastomer bonded Si electrodes and the like for reduced particle contamination in dielectric etch
US8008596B2 (en) 2006-03-16 2011-08-30 Tokyo Electron Limited Plasma processing apparatus and electrode used therein
US8635971B2 (en) 2006-03-31 2014-01-28 Lam Research Corporation Tunable uniformity in a plasma processing system
US7829468B2 (en) 2006-06-07 2010-11-09 Lam Research Corporation Method and apparatus to detect fault conditions of plasma processing reactor
US7740736B2 (en) 2006-06-08 2010-06-22 Lam Research Corporation Methods and apparatus for preventing plasma un-confinement events in a plasma processing chamber
US7875824B2 (en) 2006-10-16 2011-01-25 Lam Research Corporation Quartz guard ring centering features
US7854820B2 (en) 2006-10-16 2010-12-21 Lam Research Corporation Upper electrode backing member with particle reducing features
US20080087641A1 (en) 2006-10-16 2008-04-17 Lam Research Corporation Components for a plasma processing apparatus
US8702866B2 (en) 2006-12-18 2014-04-22 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
US8398778B2 (en) 2007-01-26 2013-03-19 Lam Research Corporation Control of bevel etch film profile using plasma exclusion zone rings larger than the wafer diameter
US7862682B2 (en) 2007-06-13 2011-01-04 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
US8216418B2 (en) 2007-06-13 2012-07-10 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings
JP5194125B2 (ja) 2007-09-25 2013-05-08 ラム リサーチ コーポレーション シャワーヘッド電極アセンブリ用の温度制御モジュール、シャワーヘッド電極アセンブリ及びシャワーヘッド電極アセンブリの上部電極の温度を制御する方法
US8187414B2 (en) 2007-10-12 2012-05-29 Lam Research Corporation Anchoring inserts, electrode assemblies, and plasma processing chambers
US8152954B2 (en) 2007-10-12 2012-04-10 Lam Research Corporation Showerhead electrode assemblies and plasma processing chambers incorporating the same
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
MY166000A (en) 2007-12-19 2018-05-21 Lam Res Corp A composite showerhead electrode assembly for a plasma processing apparatus
JP5224855B2 (ja) 2008-03-05 2013-07-03 東京エレクトロン株式会社 電極ユニット、基板処理装置及び電極ユニットの温度制御方法
TWI455239B (zh) 2008-03-14 2014-10-01 Lam Res Corp 凸輪鎖電極夾
US8187413B2 (en) 2008-03-18 2012-05-29 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket
US8161906B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8206506B2 (en) 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8221582B2 (en) * 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8402918B2 (en) 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) * 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
US8419959B2 (en) * 2009-09-18 2013-04-16 Lam Research Corporation Clamped monolithic showerhead electrode
KR200464037Y1 (ko) * 2009-10-13 2012-12-07 램 리써치 코포레이션 샤워헤드 전극 어셈블리의 에지-클램핑되고 기계적으로 패스닝된 내부 전극
US8470127B2 (en) * 2011-01-06 2013-06-25 Lam Research Corporation Cam-locked showerhead electrode and assembly

Also Published As

Publication number Publication date
KR20130002985U (ko) 2013-05-21
SG188356A1 (en) 2013-04-30
US8573152B2 (en) 2013-11-05
CN203481190U (zh) 2014-03-12
WO2012030382A2 (en) 2012-03-08
TWI533372B (zh) 2016-05-11
TW201218270A (en) 2012-05-01
WO2012030382A3 (en) 2012-08-23
KR200478781Y1 (ko) 2015-11-13
US20120055632A1 (en) 2012-03-08

Similar Documents

Publication Publication Date Title
JP3189241U (ja) シャワーヘッド電極およびガスケット
US10262834B2 (en) Edge-clamped and mechanically fastened inner electrode of showerhead electrode assembly
KR200481236Y1 (ko) 캠-로킹된 샤워헤드 전극 및 어셈블리
US8536071B2 (en) Gasket with positioning feature for clamped monolithic showerhead electrode
US8414719B2 (en) Clamped monolithic showerhead electrode
TWI504317B (zh) 受夾固之單晶噴淋頭電極組件
JP3167751U (ja) クランプ式モノリシックシャワーヘッド電極

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20131225

R150 Certificate of patent or registration of utility model

Ref document number: 3189241

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term