KR200478781Y1 - 샤워헤드 전극 - Google Patents

샤워헤드 전극 Download PDF

Info

Publication number
KR200478781Y1
KR200478781Y1 KR2020137000011U KR20137000011U KR200478781Y1 KR 200478781 Y1 KR200478781 Y1 KR 200478781Y1 KR 2020137000011 U KR2020137000011 U KR 2020137000011U KR 20137000011 U KR20137000011 U KR 20137000011U KR 200478781 Y1 KR200478781 Y1 KR 200478781Y1
Authority
KR
South Korea
Prior art keywords
inches
electrode
threaded
annular
socket
Prior art date
Application number
KR2020137000011U
Other languages
English (en)
Other versions
KR20130002985U (ko
Inventor
라 렐라 안토니 데
프라티크 만키디
마이클 씨 켈로그
라진더 딘드사
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20130002985U publication Critical patent/KR20130002985U/ko
Application granted granted Critical
Publication of KR200478781Y1 publication Critical patent/KR200478781Y1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01RELECTRICALLY-CONDUCTIVE CONNECTIONS; STRUCTURAL ASSOCIATIONS OF A PLURALITY OF MUTUALLY-INSULATED ELECTRICAL CONNECTING ELEMENTS; COUPLING DEVICES; CURRENT COLLECTORS
    • H01R13/00Details of coupling devices of the kinds covered by groups H01R12/70 or H01R24/00 - H01R33/00
    • H01R13/02Contact members
    • H01R13/20Pins, blades, or sockets shaped, or provided with separate member, to retain co-operating parts together
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making
    • Y10T29/49117Conductor or circuit manufacturing
    • Y10T29/49204Contact or terminal manufacturing
    • Y10T29/49208Contact or terminal manufacturing by assembling plural parts
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/53Means to assemble or disassemble
    • Y10T29/5313Means to assemble electrical device
    • Y10T29/532Conductor
    • Y10T29/53204Electrode

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

반도체 기판들을 에칭하기 위한 플라즈마 반응 챔버에서의 샤워헤드 전극, 가스켓 세트 및 그 어셈블리에는 향상된 가스 주입 홀 패턴, 포지셔닝 정확도 및 감소된 휘어짐이 제공되며, 이는 플라즈마 프로세싱 레이트의 강화된 균일도를 초래한다. 내측 전극 및 가스켓 세트를 지지 부재에 어셈블링하는 방법은 캠 록들의 동시적 맞물림을 포함한다.

Description

샤워헤드 전극{SHOWERHEAD ELECTRODE}
반도체 컴포넌트들이 제조될 수 있는 플라즈마 프로세싱 챔버의 샤워헤드 전극이 본원에 개시된다. 집적 회로 칩의 제조는 통상적으로 "기판" 이라 지칭된 고 순도의 단결정 반도체 재료 기판 (예컨대, 실리콘 또는 게르마늄) 의 얇고, 폴리싱된 슬라이스로 시작한다. 각각의 기판은 기판 상에 각종 회로 구조물들을 형성하는 일련의 물리적 및 화학적 프로세싱 단계들의 대상이 된다. 제조 프로세스 동안, 각종 기법들, 예컨대 실리콘 산화막을 생성하기 위한 열산화, 실리콘, 실리콘 이산화물, 및 실리콘 질화막들을 생성하기 위한 화학적 기상 증착, 및 다른 금속 막들을 생성하기 위한 스퍼터링 또는 다른 기법들을 사용하여 기판 상에 각종 유형들의 박막들이 증착될 수도 있다.
반도체 기판 상에 막을 퇴적한 후에, 도핑이라 지칭된 프로세스를 사용하여 선택된 불순물들을 반도체 결정 격자 안에 치환함으로써 반도체들의 고유한 전기적 특성들이 생성된다. 도핑된 실리콘 기판은 그 후, 얇은 감광성 층, 또는 "레지스트" 라 지칭된 감광성 재료로 균일하게 코팅될 수도 있다. 그 후, 회로에 전자 경로들을 디파이닝 (defining) 하는 작은 기하학적 패턴들이 리소그래피로서 알려진 프로세스를 사용하여 레지스트 위에 전사될 수도 있다. 리소그래피 프로세스 동안, 집적 회로 패턴은 "마스크" 로 지칭된 유리판 상에 그려지고, 그 후 감광성 코팅물 위로 광학적으로 환원, 투영, 및 전사될 수도 있다.
리소그래피된 레지스트 패턴은 그 후, 플라즈마 에칭으로 알려진 프로세스를 통해 반도체 재료의 언더라잉 결정체 면 (underlying crystalline surface) 위로 전사된다. 일반적으로, 진공 프로세싱 챔버들은, 진공 챔버에 에칭 또는 증착 가스를 공급함으로써 에칭하고 기판 상에 재료들의 화학적 기상 증착 (CVD) 을 위해 그리고 가스에 무선 주파수 (RF) 필드의 인가하여 가스를 플라즈마 상태로 에너자이징하기 위해 사용된다.
용량성 결합 플라즈마 프로세싱 챔버에서의 샤워헤드 전극 어셈블리용 샤워헤드 전극이 본원에 설명되고, 샤워헤드 전극 어셈블리는 백킹 플레이트, 복수의 스터드/소켓 어셈블리들 및 캠 샤프트들, 정렬 링, 및 복수의 정렬 핀들을 포함하고, 상기 백킹 플레이트는 그 상부 면과 하부 면 사이에서 연장되는 가스 주입 홀들을 갖고, 샤워헤드 전극은, 그 하부 면 상의 플라즈마 노출 면; 그 상부면 상의 탑재 면; 및 그 플라즈마 노출 면과 탑재 면 사이에서 연장되고 백킹 플레이트의 가스 주입 홀들에 매칭하는 패턴으로 배열된 복수의 가스 주입 홀들을 포함하고, 가스 주입 홀들은 0.04 인치 이하의 직경을 갖고 전극의 센터에 하나의 센터 가스 주입 홀이 있고 가스 주입 홀들의 8 개의 동심형 로우들을 갖는 패턴으로 배열되고, 제 1 로우는 전극의 센터로부터 약 0.6-0.7 인치의 반경 거리에 위치된 7 개의 가스 주입 홀들을 갖고; 제 2 로우는 전극의 센터로부터 약 1.3-1.4 인치의 반경 거리에 위치된 17 개의 가스 주입 홀들을 갖고; 제 3 로우는 전극의 센터로부터 약 2.1-2.2 인치의 반경 거리에 위치된 28 개의 가스 주입 홀들을 갖고; 제 4 로우는 전극의 센터로부터 약 2.8-3.0 인치의 반경 거리에 위치된 40 개의 가스 주입 홀들을 갖고; 제 5 로우는 전극의 센터로부터 약 3.6-3.7 인치의 반경 거리에 위치된 48개의 가스 주입 홀들을 갖고; 제 6 로우는 전극의 센터로부터 약 4.4-4.5 인치의 반경 거리에 위치된 56 개의 가스 주입 홀들을 갖고; 제 7 로우는 전극의 센터로부터 약 5.0-5.1 인치의 반경 거리에 위치된 64 개의 가스 주입 홀들을 갖고; 제 8 로우는 전극의 센터로부터 약 5.7-5.8 인치의 반경 거리에 위치된 72 개의 가스 주입 홀들을 가지며; 가스 주입 홀들은 각 로우에서 방위각적으로 동일하게 이격된다.
도 1a 는 일 실시형태에 따른 용량 결합 플라즈마 반응 챔버에 대한 직경을 따른 샤워헤드 전극 어셈블리의 부분 단면도를 나타낸다.
도 1b 는 다른 직경에 따른 도 1a 의 샤워헤드 전극 어셈블리의 부분 단면도를 나타낸다.
도 1c 는 바람직한 가스 홀 패턴을 갖는 샤워헤드 전극을 나타낸다.
도 2a 는 도 1a 및 도 1b 에 도시된 샤워헤드 전극 어셈블리의 외측 전극, 내측 전극 및 환상 슈라우드 (shroud) 를 부착하기 위한 예시적인 캠 록의 3 차원 표현이다.
도 2b 는 도 2a 의 예시적인 캠 록의 부분 단면도이다.
도 3 은 도 2a 및 도 2b 의 캠 록에서 사용된 예시적인 스터드 (stud) 의 측면도 및 어셈블리 도들을 나타낸다.
도 4a 는 도 2a 및 도 2b 의 캠 록에서 사용된 예시적인 캠 샤프트의 측면도를 나타낸다.
도 4b 는 도 4a 의 캠 샤프트의 측면도를 나타낸다.
도 4c 는 도 4a 의 캠 샤프트의 단면도를 나타낸다.
도 4d 는 도 4b 의 캠 샤프트의 일부분의 예시적인 커터-경로 에지의 단면도를 나타낸다.
도 4e 는 백킹 플레이트의 보어에 탑재된, 도 4a 의 캠 샤프트의 부분 사시도를 나타낸다.
도 5a 는 플라즈마 노출 면을 나타내는, 도 1a 및 도 1b 의 샤워헤드 전극 어셈블리의 내측 전극의 하부도이다.
도 5b 는 도 5a 의 내측 전극의 단면도이다.
도 5c 는 도 5b 의 영역 A 의 확대도이다.
도 5d 는 탑재 면을 나타내는, 도 5a 의 내측 전극의 상면도이다.
도 5e 는 환경 홈 (550) 에 걸친 도 5d 의 내측 전극의 부분 단면도이다.
도 5f 는 도 5d 의 홀 (540a 또는 540b) 에 걸친 도 5d 의 내측 전극의 부분 단면도이다.
도 5g 는 홀 (530a, 530b 또는 530c) 에 걸친 도 5d 의 내측 전극의 부분 단면도이다.
도 6a 는 내측 가스켓, 제 1 환경 가스켓 및 제 2 환경 가스켓의 상면도이다.
도 6b 는 도 6a 의 내측 가스켓의 확대도이다.
평행 플레이트 용량성 결합 플라즈마 반응 챔버는 통상적으로, 그 안에 위치된 상부 전극 어셈블리 및 하부 전극 어셈블리를 갖는 진공 챔버로 이루어진다. 프로세싱될 기판 (주로 반도체) 은 적합한 마스크에 의해 커버되고 하부 전극 어셈블리 상에 직접 배치된다. 프로세스 가스, 예컨대 CF4, CHF3, CClF3, HBr, Cl2, SF6 또는 그 혼합물들은 가스들, 예컨대 02, N2, He, Ar 또는 그 혼합물들과 함께 챔버 안으로 도입된다. 챔버는 통상적으로, 밀리토르 범위의 압력으로 유지된다. 상부 전극 어셈블리는 가스 주입 홀(들)을 갖는 샤워헤드 전극을 포함하는데, 이 가스 주입 홀들은 가스가 상부 전극 어셈블리를 통해 챔버 안으로 균일하게 분산되는 것을 허용한다. 하나 이상의 무선 주파수 (RF) 전력은 송신 RF 전력을 진공 챔버 안으로 공급하고, 중성의 프로세스 가스 분자들을 플라즈마로 해리한다. 플라즈마에서의 고 반응 라디컬들은 상부 전극과 하부 전극 사이의 전계에 의해 기판 표면을 향하게 된다. 기판의 표면은 라디컬들을 이용한 화학적 반응에 의해 에칭 또는 증착된다. 상부 전극 어셈블리는 단일 (모놀리식) 전극 또는 내측 및 외측 전극들을 포함할 수 있고, 모놀리식 전극 및 내측 전극은 상이한 재료로 만들어진 백킹 플레이트 (backing plate) 에 부착된다. 모놀리식/내측 전극은 동작 동안 플라즈마 및/또는 히터 장치에 의해 가열되고, 휘어질 수도 있는데 이는 기판 전체에 걸친 프로세싱 레이트의 균일도에 악영향을 줄 수 있다. 또한, 모놀리식/내측 전극 및 백킹 플레이트의 차동의 열 팽창은 반복된 열적 사이클들 동안 그 사이에서 러빙 (rubbing) 을 초래할 수 있다. 러빙은 기판으로부터의 디바이스 수율을 열화시키는 입자 오염물들을 생성할 수 있다.
모놀리식/내측 전극의 휘어짐을 감소시키기 위해, 모놀리식/내측 전극의 탑재 면의 내부와 맞물리는 복수의 캠 록 (cam lock) 들을 포함하는 샤워헤드 전극 어셈블리가 본원에 설명된다. 모놀리식/내측 전극은 그 외측 에지 주변에서 클램프 링과 에지 클램핑되지 않는다. 대신에, 단지 전극 전체에 걸쳐 분포된 복수의 포지션들에서 모놀리식/내측 전극을 백킹 플레이트에 고정시키는 캠 록들에 의해 백킹 플레이트에의 부착이 달성된다.
도 1a 는 반도체 기판들을 에칭하기 위한 플라즈마 반응 챔버의 샤워헤드 전극 어셈블리 (100) 의 일부의 부분 단면도이다. 도 1a 에 도시된 바와 같이, 샤워헤드 전극 어셈블리 (100) 는 상부 전극 (110), 및 백킹 플레이트 (140) 를 포함한다. 어셈블리 (100) 는 또한, 열 제어 플레이트 (미도시), 액체 플로우 채널들을 안에 갖는 온도 제어된 상부 플레이트 (탑 플레이트, 미도시) 를 포함할 수 있다. 상부 전극 (110) 은 바람직하게, 내측 전극 (120), 및 외측 전극 (130) 을 포함한다. 상부 전극 (110) 은 또한, 모놀리식 샤워헤드 전극일 수 있다. 상부 전극 (110) 은 전도성의 고순도 재료, 예컨대 단결정 실리콘, 다결정 실리콘, 실리콘 탄화물 또는 다른 적합한 재료로 만들어질 수도 있다. 내측 전극 (120) 은 주기적으로 대체되어야 하는 소모 부품이다. C-형 단면을 갖는 환상 슈라우드 (annular shroud; 190) 는 상부 전극 (110) 을 둘러싼다. 환상 슈라우드 (190) 의 상세들은 2009년 8월 31일자로 출원된 공동 소유의 미국 가특허출원 제 61/238656, 61/238665, 61/238670 호 모두에 설명되어 있고, 이것의 개시들은 여기에서 참조로서 포함된다. 백킹 플레이트 (140) 는 이하에 설명된 캠 록들을 이용하여 내측 전극 (120), 외측 전극 (130) 및 슈라우드 (190) 에 기계적으로 고정된다. 도 1a 의 단면은 내측 전극 (120) 상에 맞물린 2 개의 캠 록들 (151 및 152) 에 의해 공유된 캠 샤프트 (150) 를 따른다.
도 1a 에 도시된 바와 같은 샤워헤드 전극 어셈블리 (100) 는 통상적으로, 평평한 하부 전극의 일부를 형성하는 정전 척 (미도시) 과 사용되는데, 평평한 하부 전극 상에는 기판이 상부 전극 (110) 아래로 1 내지 5 cm 이격되어 지지된다. 평행 플레이트 유형의 리액터의 예는 캘리포니아, 프레몬트의 램 리써치 사에 의해 제조된 Exelan™ 유전체 에칭 리액터이다. 이러한 척킹 장치들은, 기판과 척 사이의 열 전달율을 제어하는, 백사이드 헬륨 (He) 압력을 공급함으로써 기판의 온도 제어를 제공한다.
사용 동안, 가스 소스로부터의 프로세스 가스는 백킹 플레이트의 하나 이상의 통로들을 통해 상부 전극 (110) 으로 공급되는데, 이는 프로세스 가스가 기판 위의 단일 구역 또는 다중 구역들로 공급되는 것을 허용한다.
내측 전극 (120) 은 바람직하게, 평면 디스크 또는 플레이트이다. 내측 전극 (120) 은 프로세싱될 기판보다 더 작은, 동일한, 또는 더 큰, 예를 들어 플레이트가 단결정 실리콘으로 만들어지는 경우 300 mm 까지의 직경을 가질 수 있고, 이는 300 mm 기판에 대해 사용된 현재 이용 가능한 단결정 실리콘 재료의 직경이다. 300 mm 기판을 프로세싱하는데 있어서, 외측 전극 (130) 은 내측 전극 (120) 의 직경을 약 12 인치에서 약 17 인치까지 (본원에 사용된 바와 같이, "약" 은 ±10% 을 지칭함) 연장시키도록 구성된다. 외측 전극 (130) 은 연속적 부재 (예를 들어, 링 형태의 단결정 실리콘, 다결정 실리콘, 탄화 규소 또는 다른 적합한 재료) 또는 세그먼트화된 부재 (예를 들어, 링 구성으로 배열된 2-6 분리형 세그먼트들, 예컨대 단결정 실리콘, 다결정 실리콘, 탄화 규소 또는 다른 재료의 세그먼트들) 일 수 있다. 기판과 상부 전극 (110) 사이의 갭에 프로세스 가스를 공급하기 위해, 내측 전극 (120) 에는 복수의 가스 주입 홀들 (미도시) 이 제공되는데, 이 홀들은 프로세스 가스를 공급하기에 적합한 크기 및 분포이며 프로세스 가스는 상부 전극 (110) 아래의 반응 구역에서 플라즈마로 에너자이징된다.
가스 주입 홀 패턴의 상세들은 일부 플라즈마 프로세스들에 중요할 수 있다. 바람직하게, 가스 주입 홀들 (106) 의 직경은 0.04 인치 이하이고; 더 바람직하게, 가스 주입 홀들 (106) 의 직경은 0.01 과 0.03 인치 사이이고; 가장 바람직하게, 가스 주입 홀들 (106) 의 직경은 0.02 인치이다. 바람직한 가스 주입 홀 패턴은 도 1c 에 도시되는데, 이 패턴은 (모놀리식) 단일 피스 전극 (예컨대, 여기에 참조로서 포함되는 공동 소유의 미국 공개특허출원 제 2010/0003829 에 설명된 바와 같은 전극) 또는 내측 전극 및 내측 전극을 둘러싸는 외측 환상 전극을 갖는 어셈블리의 내측 전극 (예컨대, 여기에 참조로서 포함되는 공동 소유의 미국 공개특허출원 제 2010/0003824 에 설명된 바와 같은 내측 전극) 상에서 사용될 수 있고, 하나의 가스 주입 홀은 전극 (120) 의 센터에 위치되고; 다른 가스 주입 홀들은, 전극의 센터로부터 약 0.6-0.7 (예를 들어, 0.68) 인치로 위치된 제 1 로우 (row) 에서 7 개의 가스 주입 홀들, 센터로부터 약 1.3-1.4 (예를 들어, 1.34) 인치로 위치된 제 2 로우에서 17 개의 가스 주입 홀들, 센터로부터 약 2.1-2.2 (예를 들어, 2.12) 인치로 위치된 제 3 로우에서 28 개의 가스 주입 홀들, 센터로부터 약 2.8-3.0 (예를 들어, 2.90) 인치로 위치된 제 4 로우에서 40 개의 가스 주입 홀, 센터로부터 약 3.6-3.7 (예를 들어, 3.67) 인치로 위치된 제 5 로우에서 48 개의 가스 주입 홀들, 센터로부터 약 4.4-4.5 (예를 들어, 4.45) 인치로 위치된 제 6 로우에서 56 개의 가스 주입 홀들, 센터로부터 약 5.0-5.1 (예를 들어, 5.09) 인치로 위치된 제 7 로우에서 64 개의 가스 주입 홀들, 및 센터로부터 약 5.7-5.8 (예를 들어, 5.73) 인치로 위치된 제 8 로우에서 72 개의 가스 주입 홀들을 갖는 8 개의 동심형 로우들로 배열된다. 이들 로우들 각각에서 가스 주입 홀들은 방위각적으로 균등하게 이격된다.
단결정 실리콘은 상부 전극 (110) 의 플라즈마 노출 면들에 대해 바람직한 재료이다. 고 순도의, 단결정 실리콘은 그것이 단지 최소 양의 바람직하지 않은 엘리먼트들을 반응 챔버 안으로 도입하고, 또한 플라즈마 프로세싱 동안 부드럽게 마모시키고, 이로써 입자들을 최소화하기 때문에 플라즈마 프로세싱 동안 기판들의 오염을 최소화한다. 상부 전극 (110) 의 플라즈마 노출 면들에 대해 사용될 수 있는 재료들의 합성물들을 포함하는 대안의 재료들은 예를 들어 다결정 실리콘, Y203, SiC, Si3N4, 및 AlN 을 포함한다.
일 실시형태에서, 샤워헤드 전극 어셈블리 (100) 는 300 mm 의 직경을 갖는 반도체 기판과 같은 대형 기판들을 프로세싱하기에 충분히 크다. 300 mm 기판들에 대해, 내측 전극 (120) 은 적어도 300 mm 의 직경이다. 그러나, 샤워헤드 전극 어셈블리 (100) 는 다른 기판 크기들을 프로세싱하기 위한 크기일 수 있다.
백킹 플레이트 (140) 는 바람직하게, 플라즈마 프로세싱 챔버의 반도체 기판들을 프로세싱하기 위해 사용된 프로세스 가스들과 화학적으로 양립 가능하고, 전극 재료의 것과 밀접하게 매칭되는 열 팽창 계수를 갖고/갖거나 전기적으로 그리고 열적으로 전도성인 재료로 만들어진다. 백킹 플레이트 (140) 를 만드는데 사용될 수 있는 바람직한 재료들은 그래파이트, SiC, 알루미늄 (Al), 또는 다른 적합한 재료들을 포함하지만, 이에 한정되지는 않는다.
백킹 플레이트 (140) 는 바람직하게, 스레딩된 (threaded) 볼트들, 스크류들 등일 수 있는 적합한 기계적 패스너 (fastener) 들을 이용하여 열 제어 플레이트에 부착된다. 예를 들어, 볼트들은 열 제어 플레이트의 홀들 안에 삽입되고 백킹 플레이트 (140) 의 나사산 개구들 안으로 돌려져 조여질 수 있다. 열 제어 플레이트는 바람직하게, 머시닝된 기계 재료, 예컨대 알루미늄, 알루미늄 합금 등으로 만들어진다. 상부 온도 제어된 플레이트는 바람직하게, 알루미늄 또는 알루미늄 합금으로 만들어진다.
외측 전극 (130) 및 환상 슈라우드 (190) 는 캠 록들에 의해 백킹 플레이트 (140) 에 기계적으로 부착될 수 있다. 도 1b 는 환상 슈라우드 (190) 및 외측 전극 (130) 에 각각 맞물린 2 개의 캠 록들 (161 및 162) 에 의해 공유된 다른 캠 샤프트 (160) 를 따르는 샤워헤드 전극 어셈블리 (100) 의 단면을 나타낸다.
도 1a 및 도 1b 에 도시된 캠 록들은 공동 소유의 WO2009/114175 (2009년 9월 17일자로 공개됨) 및/또는 미국 특허출원 공개 제 2010/0003829 호에 설명된 캠 록들일 수 있고, 이 개시물들은 여기서 참조로서 포함된다.
도 2a 를 참조하면, 예시적인 캠 록의 3 차원도는 외측 전극 (130) 또는 내측 전극 (120) 또는 환상 슈라우드 (190), 및 백킹 플레이트 (140) 의 일부분들을 포함한다. 캠 록은 외측 전극 (130), 내측 전극 (120) 또는 환상 슈라우드 (190) 를 백킹 플레이트 (140) 에 빠르게, 청결하게, 그리고 정확하게 부착할 수 있다.
캠 록은 소켓 (213) 안에 탑재된 스터드 (stud) (록킹 핀)(205) 를 포함한다. 스터드는 디스크 스프링 스택 (215), 예를 들어 스테인리스 스틸 벨레이유 와셔들에 의해 둘러싸일 수도 있다. 스터드 (205) 및 디스크 스프링 스택 (215) 은 그 후, 프레스 피팅 (press-fit) 되거나 그렇지 않으면 접착제 또는 기계적 패스너들의 사용을 통해 소켓 (213) 안으로 고정될 수도 있다. 스터드 (205) 및 디스크 스프링 스택 (215) 은, 외측 전극 (130) 또는 내측 전극 (120) 또는 환상 슈라우드 (190) 와, 백킹 플레이트 (140) 사이에서 제한된 양의 래트럴 이동 (lateral movement) 이 가능하도록 소켓 (213) 안에 배열된다. 래트럴 이동의 양을 제한하는 것은 외측 전극 (130) 또는 내측 전극 (120) 또는 환상 슈라우드 (190) 와, 백킹 플레이트 (140) 사이의 타이트 피팅 (tight fit) 을 허용하므로, 우수한 열 접촉을 보장하면서 2 개의 부품들 사이의 열 팽창에서의 차이들을 고려하도록 약간의 이동을 여전히 제공한다. 제한된 래트럴 이동 피처에 대한 추가의 상세들은 이하에서 더 상세히 논의된다.
특정 예시적인 실시형태에서, 소켓 (213) 은 고장력 Torlon® 으로부터 제조된다. 대안으로, 소켓 (213) 은 다른 재료들로부터 제조될 수도 있고, 우수한 견고성 및 내충격성, 크리프 내성 (creep resistance), 치수 안정성, 방사선 저항력, 및 화학적 저항력과 같은 소정의 기계적 특징들을 프로세싱하는 것이 용이하게 이용될 수도 있다. 폴리아미드-이미드, 아세탈, 및 초-고 분자량 폴리에틸렌 재료들과 같은 각종 재료들이 모두 적합할 수도 있다. 230 ℃ 는 에칭 챔버들과 같은 애플리케이션들에서 조우된 통상의 최대 온도이기 때문에 고온-특정 플라스틱들 및 다른 관련 재료들이 소켓 (213) 을 형성하는데 필요하지 않다. 일반적으로, 통상의 동작 온도는 130 ℃ 에 더 가깝다.
캠 샤프트 (160 또는 150) 는 백킹 플레이트 (140) 안에 머시닝된 보어 안에 탑재된다. 300 mm 반도체 기판들에 대해 설계된 에칭 챔버의 통상적인 애플리케이션에서, 8 개 이상의 캠 샤프트들은 백킹 플레이트 (140) 의 주변부 주위에서 이격될 수도 있다.
스터드 (205) 및 캠 샤프트 (160 또는 150) 는 우수한 견고성 및 내식성을 제공하는 임의의 다른 재료 또는 스테인리스 스틸 (예를 들어, 316, 316L, 17-7, NITRONIC-60, 등) 으로부터 머시닝될 수도 있다.
이제 도 2b 를 참조하면, 캠 록의 단면도는, 백킹 플레이트 (140) 에 아주 근접하여 외측 전극 (130), 내측 전극 (120) 또는 환상 슈라우드 (190) 를 잡아당김으로써 캠 록이 동작하는 방법을 추가로 예시한다. 스터드 (205)/디스크 스프링 스택 (215)/소켓 (213) 어셈블리는 외측 전극 (130), 내측 전극 (120) 또는 환상 슈라우드 (190) 안에 탑재된다. 도시된 바와 같이, 어셈블리는 외측 전극 (130), 내측 전극 (120) 또는 환상 슈라우드 (190) 내의 나사산 소켓 안으로 소켓 (213) 상의 외면 나사산 (external thread) 들에 의해 돌려져 조여질 수도 있다.
도 3 에서, 확장된 헤드를 갖는 스터드 (205), 디스크 스프링 스택 (215), 및 소켓 (213) 의 측면 및 어셈블리도 (300) 는 캠 록의 예시적인 설계에 추가의 상세를 제공한다. 특정 예시적인 실시형태에서, 스터드/디스크 스프링 어셈블리 (301) 는 소켓 (213) 안으로 프레스 피팅된다. 소켓 (213) 은 외면 나사산 및 가벼운 토크 (예를 들어, 특정 예시적인 실시형태에서 약 20 인치-파운드) 를 갖고 외측 전극 (130), 내측 전극 (120) 또는 환상 슈라우드 (190)(도 2a 및 도 2b 참조) 안으로의 용이한 삽입을 허용하는 6각형 탑 부재를 갖는다. 상기에 나타낸 바와 같이, 소켓 (213) 은 각종 유형들의 플라스틱들로부터 머시닝될 수도 있다. 플라스틱들을 사용하는 것은 입자 생성을 최소화하고, 외측 전극 (130), 내측 전극 (120) 또는 환상 슈라우드 (190) 상의 메이팅 (mating) 소켓 안으로의 소켓 (213) 의 골 프리 (gall-free) 삽입을 허용한다.
스터드/소켓 어셈블리 (303) 는 스터드 (205) 의 중간-섹션부의 외측 직경보다 큰 소켓 (213) 의 상부 부분에서의 내경을 예시한다. 2 개의 부분들 간의 직경에서의 차이는 전술된 바와 같은 어셈블링된 캠 록에서 제한된 래트럴 이동을 허용한다. 직경들에서의 차이가 약간의 래트럴 이동을 허용하면서 (또한, 도 2b 참조), 스터드/디스크 스프링 어셈블리 (301) 는 소켓 (213) 의 기저부에서 소켓 (213) 과 단단히 접촉하여 유지된다.
도 4a 를 참조하면, 캠 샤프트 (160 또는 150) 의 사시도 (400) 는 또한 캠 샤프트 (160 또는 150) 의 일단 상의 키잉 스터드 (keying stud; 402) 및 6각 개구 (403) 를 나타낸다.
예를 들어, 도 4a, 도 2a 및 도 2b 를 참조하면, 캠 록은 캠 샤프트 (160 또는 150) 를 백킹 플레이트 보어 (211) 안으로 삽입함으로써 어셈블링된다. 키잉 스터드 (402) 는 도 4e 에 도시된 바와 같이 보어 (211) 의 입구 상에서 스텝과 인터페이싱함으로써 백킹 플레이트 보어 (211) 에서 캠 샤프트 (160 또는 150) 의 회전 이동을 제한한다. 캠 샤프트 (160 또는 150) 는 2 개의 내부 편심적 컷아웃 (eccentric cutout) 들을 갖는다. 캠 샤프트 (160) 에서, 하나의 컷아웃은 외측 전극 (130) 상의 스터드 (205) 의 확장된 헤드와 맞물리고 다른 컷 아웃은 환상 슈라우드 (190) 상의 스터드 (205) 의 확장된 헤드와 맞물린다. 캠 샤프트 (150) 에서, 2 개의 컷아웃들 각각은 내측 전극 (120) 상의 스터드 (205) 의 확장된 헤드와 맞물린다. 캠 샤프트 (160 또는 150) 는 6각 개구들 (403) 의 사용을 통해 일 방향, 예를 들어 반시계 방향으로 터닝되어, 캠 샤프트 (160 또는 150) 안으로의 스터드들 (205) 의 진입을 허용하고, 그 후 시계 방향으로 터닝되어 스터드들 (205) 과 완전히 맞물리고 록킹할 수도 있다. 외측 전극 (130), 내측 전극 (120) 또는 환상 전극 (190) 을 백킹 플레이트 (140) 에 홀딩하는데 필요한 클램프 힘은 디스크 스프링 스택들 (215) 을 그 프리 스택 높이 이상으로 압축함으로써 공급된다. 디스크 스프링 스택들 (215) 을 압축함에 따라, 클램프 힘은 디스크 스프링 스택들 (215) 에서의 개별의 스프링들로부터 소켓들 (213) 로 그리고 외측 전극 (130), 내측 전극 (120) 또는 환상 슈라우드 (190) 를 거쳐 백킹 플레이트 (140) 로 전송된다.
예시적인 동작 모드에서, 캠 샤프트 (160 또는 150) 는 백킹 플레이트 보어 (211) 안으로 삽입된다. 캠 샤프트 (160 또는 150) 는 그 충분한 회전 이동에 대해 반시계 방향으로 회전된다. 외측 전극 (130), 내측 전극 (120) 및/또는 환상 슈라우드 (190) 안으로 가볍게 토크된 스터드/소켓 어셈블리들 (303)(도 3) 은 그 후, 수평으로 연장된 백킹 플레이트 보어 (211) 아래의 홀들을 통해 수직으로 연장되어 삽입되므로, 스터드들 (205) 의 헤드들은 캠 샤프트 (160 또는 150) 의 편심적 컷아웃들에서 맞물린다. 외측 전극 (130), 내측 전극 (120) 또는 환상 슈라우드 (190) 는 백킹 플레이트 (140) 에 대해 홀딩되고, 캠 샤프트 (160 또는 150) 는 키잉 핀이 보어 (211) 의 입구 상의 스텝에 의해 제한될 때까지 시계 방향으로 회전된다. 예시적인 동작 모드는 외측 전극 (130), 내측 전극 (120) 또는 환상 슈라우드 (190) 를 백킹 플레이트 (140) 로부터 내리도록 반전될 수도 있다.
도 4d 를 참조하면, 도 4a 의 캠 샤프트 (160 또는 150) 의 측면도 (420) 의 단면 A-A 는 스터드 (205) 의 헤드가 완전히 고정되는 커터 경로 에지 (440) 를 나타낸다.
도 5a 내지 도 5g 는 내측 전극 (120) 의 상세들을 나타낸다. 내측 전극 (120) 은 바람직하게, 고 순도 (10 ppm 미만의 불순물) 의 저 저항률 (0.005 내지0.02 ohm-cm) 단결정 실리콘의 플레이트이다.
도 5a 는 플라즈마 노출 면 (120a) 을 나타내는, 내측 전극 (120) 의 하면도이다. 적합한 직경 및/또는 구성의 가스 주입 홀들 (106) 은 탑재 면 (120b) 으로부터 플라즈마 노출 면 (120a) 으로 연장되고 (도 5b), 임의의 적합한 패턴으로 배열될 수 있다. 바람직하게, 가스 주입 홀들 (106) 은 도 1c 에 도시된 패턴으로 배열된다.
도 5b 는 직경을 따른 내측 전극 (120) 의 단면도이다. 외측 원주면은 단일의 환상 단차 (532) 를 포함한다. 도 5c 는 도 5b 의 영역 A 의 확대도이다. 스텝 (532) 은 내측 전극 (120) 둘레에서 완전히 연장된다. 바람직한 실시형태에서, 내측 전극 (120) 은 약 0.40 인치의 두께 및 약 12.5 인치의 외경을 갖는다; 스텝 (532) 은 약 12.0 인치의 내경 및 약 12.5 인치의 외경을 갖는다. 스텝 (532) 은 약 0.20 인치 길이의 수직 면 (532a) 및 약 0.25 인치 길이의 수평 면 (532b) 을 갖는다. 면들 (532a 과 532b) 사이의 내부 코너는 약 0.06 인치의 반경인 필릿 (fillet) 을 갖는다.
도 5d 는 탑재 면 (120b) 을 나타내는, 내측 전극 (120) 의 상면도이다. 탑재 면 (120b) 은 내측 전극 (120) 과 동심인 환상 홈 (550)(도 5e 에 상세히 도시됨) 을 포함하고, 이 환상 홈 (550) 은 약 0.24 인치의 내경, 약 0.44 인치의 외경, 적어도 0.1 인치의 깊이, 입구 에지들 상에서 약 0.02 인치 폭의 45°챔퍼들, 및 하부 코너들 상에서 0.015 와 0.03 인치 사이의 반경의 필릿을 갖는다.
탑재 면 (120b) 은 또한, 내측 전극 (120) 의 센터로부터 1.72 와 1.73 인치 사이의 반경에 위치된 정렬 핀들 (도 5f 에 상세히 도시됨) 을 수용하도록 구성된 2 개의 스무드 (무나사산) 블라인드 홀들 (540a 및 540b) 을 포함한다. 블라인드 홀 (540b) 은 블라인드 홀 (540a) 로부터 시계방향으로 약 175°만큼 오프셋된다. 블라인드 홀들 (540a 및 540b) 은 약 0.11 인치의 직경, 적어도 0.2 인치의 깊이, 입구 에지 상에서 약 0.02 인치 폭의 45°챔퍼, 및 하부 코너 상에서 최소 0.02 인치의 반경을 갖는 필릿을 갖는다.
탑재 면 (120b) 은 또한, 탑재 면 (120b) 을 중심부, 중간부 및 외측부로 분할하는 제 1 원형 로우 및 제 2 원형 로우로 배열된 나사산 소켓들을 포함한다. 제 1 원형 로우는 바람직하게, 내측 전극 (120) 의 1/4 내지 1/2 반경 상에, 더 바람직하게는 내측 전극 (120) 의 센터로부터 약 2.4-2.6 인치의 반경 거리에 위치되고; 제 2 원형 로우는 바람직하게 내측 전극 (120) 의 1/2 반경보다 큰 반경 상에, 더 바람직하게는 내측 전극 (120) 의 센터로부터 약 5.3-5.5 인치의 반경 거리에 위치된다. 바람직한 실시형태에서, 각각 스터드/소켓 어셈블리 (303) 를 수용하도록 구성된 8 개의 7/16-28 (Unified Thread Standard) 나사산 소켓들 (520a) 의 제 1 로우는 내측 전극 (120) 의 센터로부터 2.49 와 2.51 인치 사이의 반경 상에서 원주 방향으로 이격되어 분리되고, 인접한 나사산 소켓들 (520a) 의 각 쌍 사이에서 약 45°만큼 방위각적으로 오프셋된다. 나사산 소켓들 (520a) 각각은 약 0.2 인치의 총 깊이, 입구 에지로부터 적어도 0.163 인치의 나사산 깊이, 및 입구 에지 상에서 약 0.03 인치 폭의 45°챔퍼를 갖는다. 나사산 소켓들 (520a) 중 하나는 블라인드 홀 (540a) 과 방위각적으로 정렬된다. 각각 스터드/소켓 어셈블리 (303) 를 수용하도록 구성된 8 개의 7/16-28 (Unified Thread Standard) 나사산 소켓들 (520b) 의 제 2 로우는 내측 전극 (120) 의 센터로부터 5.40 와 5.42 인치 사이의 반경으로 원주 방향으로 이격되어 분리되고, 인접한 나사산 홀들 (520b) 의 각 쌍 사이에서 약 45°만큼 방위각적으로 오프셋된다. 나사산 소켓들 (520b 및 520a) 각각은 약 0.2 인치의 총 깊이, 입구 에지로부터 적어도 0.163 인치의 나사산 깊이, 및 입구 에지 상에서 약 0.03 인치 폭의 45°챔퍼를 갖는다. 홀들 (520b) 중 하나는 블라인드 홀 (540a) 과 방위각적으로 정렬된다.
탑재 면 (120b) 은 내측 전극 (120) 의 센터로부터 6.02 와 6.03 인치 사이의 반경에서 방사상으로 정렬된 정렬 핀들 (각각 530a, 530b 및 530c, 또는 총괄하여 530)(도 5g 에 상세히 도시됨) 의 수신을 수용하도록 구성된 제 1, 제 2 및 제 3 스무드 (무나사산) 블라인드 홀들을 더 포함한다. "방사상으로 정렬된" 은 센터까지의 거리들이 동일한 것을 의미한다. 홀들 (530a) 은 0.11 과 0.12 인치 사이의 직경, 적어도 0.1 인치의 깊이, 입구 에지 상에서 약 0.02 인치 폭의 45°챔퍼, 및 하부 코너 상에서 최대 0.02 인치의 반경을 갖는 필릿을 갖는다. 제 1 홀 (530a) 은 블라인드 홀들 (540a) 로부터 방위각적으로 시계방향으로 약 10°만큼 오프셋되고; 제 2 홀 (530b) 은 제 1 홀 (530a) 로부터 방위각적으로 반시계 방향으로 약 92.5°만큼 오프셋되며; 제 3 홀 (530c) 은 제 1 홀 (530a) 로부터 방위각적으로 반시계 방향으로 약 190°만큼 오프셋된다.
도 1a 를 참조하면, 내측 전극 (120) 은 상부 면 (120b) 에서의 나사산 소켓들 (520a) 을 맞물리는 복수의 (예를 들어, 8 개) 캠 록들 (152) 및 나사산 소켓들 (520b) 을 맞물리는 복수의 (예를 들어, 8 개) 캠 록들 (151) 에 의해 백킹 플레이트 (140) 에 고정된다.
캠 록들 (151 및 152) 은 기계적 지지 포인트들을 제공하고, 백킹 플레이트 (140) 와의 열 접촉을 향상시키고, 내측 전극 (120) 의 휘어짐을 감소시키며, 따라서 프로세싱 레이트 불균일도 및 열적 불균일도를 감소시킨다.
도 6a 는 열적으로 그리고 전기적으로 전도성 가스켓 세트의 상면도를 나타낸다. 이 가스켓 세트는 복수의 스포크 (spoke) 들에 의해 연결된 복수의 동심 링들을 포함하는 내측 가스켓 (6100), 복수의 홀들 및 하나의 컷아웃을 갖는 제 1 환상 가스켓 (6200), 및 복수의 컷아웃들을 갖는 제 2 환상 가스켓 (6300) 을 포함한다. 가스켓들은 바람직하게, 낮은 입자 생성을 갖고, 접촉 포인트들에서 응력 (shear) 을 수용하도록 양립 가능하며, Ag, Ni, Cu 등과 같은 반도체 기판들에서 라이프타임 킬러 (lifetime killer) 들인 금속 컴포넌트들이 없는, 전기적 및 열적으로 전도성이고 진공 환경, 예를 들어 약 10 내지 200 mTorr 에서 과도한 아웃가스 (excessive outgas) 가 없는 재료로 만들어진다. 가스켓들은 실리콘-알루미늄 포일 샌드위치 가스켓 구조 또는 엘라스토머-스테인리스 스틸 샌드위치 가스켓 구조일 수 있다. 가스켓들은 플라즈마 에칭과 같은 단계들이 수행되는 반도체 제조에 사용된 진공 환경에서 양립 가능한 열적 및 전기적으로 전도성 러버로 상부 및 하부 면들 상에 코팅된 알루미늄 시트일 수 있다. 가스켓들은 바람직하게, 전극 및 백킹 플레이트가 함께 기계적으로 클램핑되지만 샤워헤드 전극의 온도 사이클링 동안 전극 및 백킹 플레이트의 반대 표면들이 서로에 대해 러빙되는 것을 방지하는 경우 그들이 압축될 수 있도록 양립가능하다. 가스켓들은 버그퀴스트 (Bergquist) 회사로부터 이용 가능한 "Q-PAD Π" 와 같은 적합한 재료로 제조될 수 있다. 가스켓의 두께는 바람직하게 약 0.006 인치이다. 가스켓들의 각종 피처들은 연속 시트로부터 나이프-커팅 (knife-cut), 스탬핑, 펀칭, 또는 바람직하게 레이저-커팅 (laser-cut) 될 수 있다. 가스켓 세트는 내측 전극 (120), 외측 전극들 (130) 및 환상 슈라우드 (190), 및 백킹 플레이트 (140) 사이에 탑재되어, 그들 사이에서 전기적 및 열적 접촉을 제공한다.
도 6b 는 내측 가스켓 (6100) 의 상세들을 나타낸다. 내측 가스켓 (6100) 은 바람직하게, 반경 스포크들에 의해 상호연결된 9 개의 동심형 링들을 포함한다. 제 1 링 (6101) 은 적어도 0.44 인치 (예를 들어, 0.60 과 0.65 인치 사이) 의 내경 및 최대 1.35 인치 (예를 들어, 0.95 와 1.00 인치 사이) 의 외경을 갖는다. 제 1 링 (6101) 은 7 개의 방사상으로 연장되고 방위각적으로 균등하게 이격된 스포크들 (6112) 에 의해 제 2 링 (6102) 에 연결된다. 각각의 스포크 (6112) 는 약 0.125 인치의 폭을 갖는다.
제 2 링 (6102) 은 적어도 1.35 인치 (예를 들어, 1.72 과 1.78 인치 사이) 의 내경 및 최대 2.68 인치 (예를 들어, 2.25 와 2.35 인치 사이) 의 외경을 갖는다. 제 2 링 (6102) 은 3 개의 방사상으로 연장되고 방위각적으로 균등하게 이격된 스포크들 (6123a, 6123b 및 6123c) 에 의해 제 3 링 (6103) 에 연결되는데, 스포크들 각각은 약 0.125 인치의 폭을 갖는다. 하나의 스포크 (6123a) 는 약 180°만큼 스포크들 (6112) 중 하나로부터 방위각적으로 오프셋된다.
제 3 링 (6103) 은 적어도 2.68 인치 (예를 들어, 3.15 과 3.20 인치 사이) 의 내경 및 최대 4.23 인치 (예를 들어, 3.70 와 3.75 인치 사이) 의 외경을 갖는다. 제 3 링은 4 개의 방사상으로 연장되고 방위각적으로 균등하게 이격된 스포크들 (6134) 에 의해 제 4 링 (6104) 에 연결된다. 각각의 스포크는 약 0.125 인치의 폭을 갖는다. 스포크 (6134) 들 중 하나는 스포크 (6123a) 로부터 반시계 방향으로 약 22.5°만큼 방위각적으로 오프셋된다. 제 3 링 (6103) 은 또한, 내측 가스켓 (6100) 의 센터로부터 1.70 과 1.75 인치 사이의 반경 거리에서 위치된 2 개의 라운드 홀들 (6103x 및 6103y) 을 포함한다. 라운드 홀들 (6103x 및 6103y) 은 약 0.125 인치의 직경을 갖는다. 라운드 홀 (6103x) 은 스포크 (6123a) 로부터 반시계 방향으로 약 5°만큼 방위각적으로 오프셋된다. 라운드 홀 (6103y) 은 스포크 (6123a) 로부터 약 180°만큼 방위각적으로 오프셋된다. 라운드 홀들 (6103x 및 6103y) 은 정렬 핀들을 수용하도록 구성된다.
제 4 링 (6104) 은 적어도 4.23 인치 (예를 들어, 4.68 과 4.73 인치 사이) 의 내경 및 최대 5.79 인치 (예를 들어, 5.27 와 5.32 인치 사이) 의 외경을 갖는다. 제 4 링 (6104) 은 8 개의 방사상으로 연장되고 방위각적으로 균등하게 이격된 스포크들 (6145a) 의 일 세트 및 8 개의 방사상으로 연장되고 방위각적으로 균등하게 이격된 스포크들 (6145b) 의 다른 세트에 의해 제 5 링 (6105) 에 연결된다. 스포크 (6145b) 들 중 하나는 스포크 (6123a) 로부터 반시계 방향으로 약 8.5°만큼 방위각적으로 오프셋된다. 스포크 (6145a) 들 중 하나는 스포크 (6123a) 로부터 시계 방향으로 약 8.5°만큼 방위각적으로 오프셋된다. 각각의 스포크 (6145a 및 6145b) 는 약 0.125 인치의 폭을 갖는다. 스포크들 (6145a 및 6145b) 은 방사상으로 내측으로 연장되어 약 28°의 중심각을 갖는 8 개의 정확한 섹션들 각각으로 제 4 링 (6104) 을 분리한다.
제 5 링 (6105) 은 적어도 5.79 인치 (예를 들어, 6.33 과 6.38 인치 사이) 의 내경 및 최대 7.34 인치 (예를 들어, 6.71 와 6.76 인치 사이) 의 외경을 갖는다. 제 5 링 (6105) 은 4 개의 방사상으로 연장되고 방위각적으로 균등하게 이격된 스포크들 (6156) 에 의해 제 6 링 (6106) 에 연결된다. 스포크 (6156) 들 중 하나는 스포크 (6123a) 로부터 약 90°만큼 방위각적으로 오프셋된다. 스포크 (6156) 들 각각은 약 0.125 인치의 폭을 갖는다.
제 6 링 (6106) 은 적어도 7.34 인치 (예를 들어, 7.90 과 7.95 인치 사이) 의 내경 및 최대 8.89 인치 (예를 들어, 8.23 와 8.28 인치 사이) 의 외경을 갖는다. 제 6 링 (6106) 은 4 개의 방사상으로 연장되고 방위각적으로 균등하게 이격된 스포크들 (6167a) 의 일 세트 및 4 개의 방사상으로 연장되고 방위각적으로 균등하게 이격된 스포크들 (6167b) 의 다른 세트에 의해 제 7 링 (6107) 에 연결된다. 스포크 (6167b) 들 중 하나는 스포크 (6123a) 로부터 약 6.4°만큼 반시계 방향으로 방위각적으로 오프셋된다. 스포크 (6167a) 들 중 하나는 스포크 (6123a) 로부터 약 6.4°만큼 시계 방향으로 방위각적으로 오프셋된다. 스포크 (6167a 및 6167b) 들 각각은 약 0.125 인치의 폭을 갖는다.
제 7 링 (6107) 은 적어도 8.89 인치 (예를 들어, 9.32 과 9.37 인치 사이) 의 내경 및 최대 10.18 인치 (예를 들어, 9.65 와 9.70 인치 사이) 의 외경을 갖는다. 제 7 링 (6107) 은 8 개의 방사상으로 연장되고 방위각적으로 균등하게 이격된 스포크들 (6178a) 의 일 세트 및 8 개의 방사상으로 연장되고 방위각적으로 균등하게 이격된 스포크들 (6178b) 의 다른 세트에 의해 제 8 링 (6108) 에 연결된다. 스포크 (6178b) 들 중 하나는 스포크 (6123a) 로부터 약 5°만큼 반시계 방향으로 방위각적으로 오프셋된다. 스포크 (6167a) 들 중 하나는 스포크 (6123a) 로부터 약 5°만큼 시계 방향으로 방위각적으로 오프셋된다. 스포크 (6167a 및 6167b) 들 각각은 약 0.125 인치의 폭을 갖는다.
제 8 링 (6108) 은 적어도 10.18 인치 (예를 들어, 10.59 과 10.64 인치 사이) 의 내경 및 최대 11.46 인치 (예를 들어, 10.95 와 11.00 인치 사이) 의 외경을 갖는다. 제 8 링 (6108) 은 8 개의 방사상으로 연장되고 방위각적으로 균등하게 이격된 스포크들 (6189a) 의 일 세트 및 8 개의 방사상으로 연장되고 방위각적으로 균등하게 이격된 스포크들 (6189b) 의 다른 세트에 의해 제 9 링 (6109) 에 연결된다. 스포크 (6189b) 들 중 하나는 스포크 (6123a) 로부터 약 5°만큼 반시계 방향으로 방위각적으로 오프셋된다. 스포크 (6189a) 들 중 하나는 스포크 (6123a) 로부터 약 5°만큼 시계 방향으로 방위각적으로 오프셋된다. 스포크 (6167a 및 6167b) 들 각각은 약 0.125 인치의 폭을 갖는다. 약 6°인치의 중심각을 갖는 8 개의 정확한 컷아웃들 (6108h) 은 제 8 링 (6108) 을 8 개의 섹션들로 분리한다. 컷아웃들 (6108h) 은 방위각적으로 동일하게 이격된다. 컷아웃 (6108h) 중 하나는 스포크 (6123a) 와 방위각적으로 정렬된다.
제 9 링 (6109) 은 11.92 와 11.97 인치 사이의 내경 및 12.45 와 12.50 인치 사이의 외경을 갖는다. 제 9 링 (6109) 은 그 내측 둘레 상에 3 개의 작은 직경 컷아웃들 (6109a, 6109b 및 6109c) 을 갖는다. 컷아웃들 (6109b 및 6109c) 은 각각 컷아웃 (6109a) 으로부터 반시계 방향으로 약 92.5°만큼 그리고 반시계 방향으로 약 190°만큼 방위각적으로 오프셋된다. 컷아웃 (6109c) 은 스포크 (6123a) 와 방위각적으로 정렬된다. 컷아웃들 (6109a, 6109b 및 6109c) 의 센터들은 내측 가스켓 (6100) 의 센터로부터 약 6.02 인치의 반경 거리에 위치된다. 컷아웃들 (6109a, 6109b 및 6109c) 은 내측을 향하고 약 0.125 인치의 직경을 갖는 반-원형 외측 주변부를 포함하고 직선의 반경 에지들을 갖는 내측 개구를 포함한다. 제 9 링 (6109) 은 또한, 그 외측 둘레 상에 3 개의 큰-직경 라운드 및 외측으로 향하는 컷아웃들 (6109x, 6109y 및 6109z) 을 갖는다. 컷아웃들 (6109x, 6109y 및 6109z) 은 방위각적으로 동일하게 이격되고 약 0.72 인치의 직경을 갖는다. 그 센터들은 내측 가스켓 (6100) 의 센터로부터 약 6.48 인치의 반경 거리에 위치된다. 컷아웃 (6109z) 은 시계 방향으로 약 37.5°만큼 스포크 (6123a) 로부터 방위각적으로 오프셋된다.
제 1 환상 가스켓 (6200) 은 약 14.06 인치의 내경 및 약 16.75 인치의 외경을 갖는다. 제 1 환상 가스켓 (6200) 은 방위각적으로 동일하게 이격된 8 개의 원형 홀들 (6209a) 을 갖는다. 홀들 (6209a) 의 센터들은 제 1 환상 가스켓 (6200) 의 센터로부터 약 7.61 인치의 반경 거리에 위치된다. 홀들 (6209a) 은 약 0.55 인치의 직경을 갖는다. (이하에서 상세히 설명되는 바와 같이) 샤워헤드 전극 어셈블리 (100) 에 인스톨되는 경우, 홀들 (6209a) 중 하나는 내측 가스켓 (6100) 의 스포크 (6123a) 와 방위각적으로 정렬된다. 제 1 환상 가스켓 (6200) 은 또한, 제 1 환상 가스켓 (6200) 의 내측 주변부 상의 하나의 라운드 내측으로 향하는 컷아웃 (6209b) 을 갖는다. 이 컷아웃 (6209b) 의 센터는 제 1 환상 가스켓 (6200) 의 센터로부터 약 6.98 인치의 거리에 위치된다. 컷아웃 (6209b) 은 약 0.92 인치의 직경을 갖는다. (이하에서 상세히 설명되는 바와 같이) 샤워헤드 전극 어셈블리 (100) 에 인스톨되는 경우, 컷아웃 (6209b) 은 반시계 방향으로 약 202.5°만큼 스포크 (6123a) 로부터 방위각적으로 오프셋된다. 제 1 환상 가스켓 (6200) 은 또한, 툴 액세스를 허용하도록 구성된 3 개의 원형 홀들 (6210, 6220 및 6230) 을 갖는다. 이들 홀들은 약 7.93 인치의 반경 거리에 위치되고 약 0.14 인치의 직경을 갖는다. 홀들 (6210, 6220 및 6230) 은 컷아웃 (6209b) 으로부터 각각 시계 방향으로 약 7.5°, 약 127.5°및 약 252.5°만큼 방위각적으로 오프셋된다.
제 2 환상 가스켓 (6300) 은 약 17.29 인치의 내경 및 약 18.69 인치의 외경을 갖는다. 제 2 환상 가스켓 (6300) 은 외측 주변부 상에서 방위각적으로 동일하게 이격된 8 개의 라운드 외측으로 향하는 컷아웃들 (6301) 을 갖는다. 컷아웃들 (6301) 의 센터들은 제 3 환상 가스켓 (6300) 의 센터로부터 약 9.30 인치의 반경 거리에 위치된다. 컷아웃들 (6301) 은 약 0.53 인치의 직경을 갖는다.
내측 전극 (120) 이 챔버 (100) 에 인스톨되는 경우, 정렬 링, 2 개의 내측 정렬 핀들 및 3 개의 외측 정렬 핀들은 환상 홈 (550), 홀들 (540a 및 540b) 및 홀들 (530) 각각 안으로 먼저 삽입된다. 내측 가스켓 (6100) 은 그 후, 내측 전극 (120) 에 탑재된다. 홀들 (6103x 및 6103y) 은 내측 정렬 핀들에 대응하고; 내측 가스켓 (6100) 의 센터 홀은 내측 전극 (120) 의 정렬 링 및 센터 가스 주입 홀에 대응한다. 내측 가스켓 (6100) 의 스포크들 내 및 9 개의 링들 사이의 개구들은 내측 전극 (120) 의 가스 주입 홀들의 제 1 로우 내지 제 8 로우에 대응한다. 9 개의 링 상의 컷아웃들 (6109a, 6109b 및 6109c) 은 홀들 (530a, 530b 및 530c) 각각에 대응한다. 8 개의 스터드/소켓 어셈블리들 (303) 은 8 개의 나사산 소켓들 (520a) 안으로 스레딩되고 8 개의 스터드/소켓 어셈블리들 (303) 은 8 개의 나사산 소켓들 (520b) 안으로 스레딩되어 내측 전극 (120) 을 백킹 플레이트 (140) 에 고정하는데, 내측 가스켓 (6100) 이 그들 사이에 샌드위치된다. 스터드/소켓 어셈블리들 (303) 은 센터와 외측 에지 사이의 로케이션에서 내측 전극 (120) 을 지지하고, 백킹 플레이트 (140) 와의 열 접촉을 향상시키며 기판의 프로세싱 동안 온도 사이클링에 의해 야기된 내측 전극 (120) 의 휘어짐을 감소시킨다. 내측 전극 (120) 은 캠 샤프트들 (150) 을 회전시킴으로써 백킹 플레이트 (140) 에 대해 고정된다. 8 개의 스터드 소켓 어셈블리들 (303) 은 외측 전극 (130) 의 8 개의 나사산 소켓들 안으로 스레딩된다. 제 1 환상 가스켓 (6200) 은 외측 전극 (130) 상에 배치된다. 8 개의 스터드/소켓 어셈블리들 (303) 은 환상 슈라우드 (190) 의 8 개의 나사산 소켓들 안으로 스레딩된다. 제 2 환상 가스켓 (6300) 은 환상 슈라우드 (190) 상에 배치된다. 외측 전극 (130) 및 환상 슈라우드 (190) 는 캠 샤프트들 (160) 을 회전시킴으로써 백킹 플레이트 (140) 에 고정된다. 8 개의 홀들 (6209a) 은 외측 전극 (130) 상에 스레딩된 8 개의 스터드/소켓 어셈블리들 (303) 에 대응한다. 컷아웃들 (6301) 은 슈라우드 (190) 상에 스레딩된 8 개의 스터드/소켓 어셈블리들 (303) 에 대응한다.
내측 가스켓 (6100) 의 스포크들 및 링들 (6101-6109) 은, 그들이 내측 전극 (120) 의 가스 주입 홀 (106), 캠 록들 (151 및 152), 정렬 링, 또는 정렬 핀들을 방해하지 않는 한 임의의 적합한 패턴으로 배열될 수도 있다.
샤워헤드 전극 어셈블리, 샤워헤드 전극, 외측 전극, 가스켓 세트 및 가스 홀 패턴은 그 특정 실시형태들을 참조하여 상세히 설명되었으나, 첨부된 청구범위의 범위를 벗어남 없이 각종 변형들 및 변경들이 이루어지고 등가물들이 이용될 수 있음이 당업자에게 자명할 것이다.

Claims (17)

  1. 평행 플레이트 용량성 결합 플라즈마 프로세싱 챔버에서의 샤워헤드 전극 어셈블리용 샤워헤드 전극으로서,
    상기 샤워헤드 전극 어셈블리는 백킹 플레이트, 복수의 스터드 (stud)/소켓 어셈블리들과 캠 샤프트들, 정렬 링, 및 복수의 정렬 핀들을 포함하고, 상기 백킹 플레이트는 상기 백킹 플레이트의 상부 면과 하부 면 사이에서 연장되는 가스 주입 홀들을 갖고,
    상기 샤워헤드 전극은,
    상기 샤워헤드 전극의 하부 면 상의 플라즈마 노출 면;
    상기 샤워헤드 전극의 상부 면 상의 탑재 면; 및
    상기 플라즈마 노출 면과 상기 탑재 면 사이에서 연장되고 상기 백킹 플레이트의 상기 가스 주입 홀들에 매칭하는 패턴으로 배열된 복수의 가스 주입 홀들을 포함하고,
    상기 가스 주입 홀들은 0.04 인치 이하의 직경을 갖고, 상기 전극의 센터에 하나의 센터 가스 주입 홀 및 가스 주입 홀들의 8 개의 동심형 로우 (row) 들을 갖는 패턴으로 배열되고,
    제 1 로우는 상기 전극의 센터로부터 약 0.6-0.7 인치의 반경 거리에 위치된 7 개의 가스 주입 홀들을 갖고;
    제 2 로우는 상기 전극의 센터로부터 약 1.3-1.4 인치의 반경 거리에 위치된 17 개의 가스 주입 홀들을 갖고;
    제 3 로우는 상기 전극의 센터로부터 약 2.1-2.2 인치의 반경 거리에 위치된 28 개의 가스 주입 홀들을 갖고;
    제 4 로우는 상기 전극의 센터로부터 약 2.8-3.0 인치의 반경 거리에 위치된 40 개의 가스 주입 홀들을 갖고;
    제 5 로우는 상기 전극의 센터로부터 약 3.6-3.7 인치의 반경 거리에 위치된 48개의 가스 주입 홀들을 갖고;
    제 6 로우는 상기 전극의 센터로부터 약 4.4-4.5 인치의 반경 거리에 위치된 56개의 가스 주입 홀들을 갖고;
    제 7 로우는 상기 전극의 센터로부터 약 5.0-5.1 인치의 반경 거리에 위치된 64개의 가스 주입 홀들을 갖고;
    제 8 로우는 상기 전극의 센터로부터 약 5.7-5.8 인치의 반경 거리에 위치된 72개의 가스 주입 홀들을 가지며;
    각각의 로우에서 상기 가스 주입 홀들은 방위각적으로 동일하게 이격되는, 샤워헤드 전극.
  2. 제 1 항에 있어서,
    상기 샤워헤드 전극은, 상기 백킹 플레이트의 상기 하부 면의 개구들과 맞물리는 스터드/소켓 어셈블리들을 수용하도록 구성된 나사산 소켓들 및 내측으로 연장된 플랜지를 갖는 외측 전극, 상기 백킹 플레이트의 상기 하부면의 개구들과 맞물리는 스터드/소켓 어셈블리들을 수용하도록 구성된 복수의 나사산 소켓들을 갖는 환상 슈라우드 (annular shroud) 를 포함하는 샤워헤드 전극 어셈블리의 내측 전극이고,
    상기 내측 전극은,
    상기 내측 전극의 외측 주변부 상의, 상기 외측 전극의 상기 내측으로 연장된 플랜지와 메이팅 (mate) 하도록 구성된 단일의 환상 단차;
    상기 정렬 핀들을 수용하도록 구성된 상기 탑재 면에서의 복수의 무나사산 (unthreaded) 블라인드 홀들;
    상기 정렬 링을 수용하도록 구성된 상기 탑재 면에서의 환상 홈; 및
    상기 캠 샤프트들과 맞물리는 상기 스터드/소켓 어셈블리들을 수용하고 클램핑 링을 사용하지 않고 상기 백킹 플레이트에 상기 내측 전극을 부착하도록 구성된 상기 탑재 면에서의 복수의 나사산 소켓들을 포함하는, 샤워헤드 전극.
  3. 제 2 항에 있어서,
    상기 복수의 나사산 소켓들은 8 개의 동일하게 이격된 나사산 소켓들의 제 1 원형 로우 및 8 개의 동일하게 이격된 나사산 소켓들의 제 2 원형 로우를 포함하고;
    상기 나사산 소켓들 각각은 7/16-28 의 나사산 크기로 나사산이 형성되고 적어도 0.163 인치의 나사산 깊이를 갖고;
    상기 제 1 원형 로우는 상기 내측 전극의 센터로부터 약 2.4-2.6 인치의 반경 거리들에 위치되며;
    상기 제 2 원형 로우는 상기 내측 전극의 센터로부터 약 5.3-5.5 의 반경 거리에 위치되는, 샤워헤드 전극.
  4. 제 2 항에 있어서,
    상기 나사산 소켓들은 상기 내측 전극의 반경 중 1/4 내지 1/2 반경 상에 위치된 제 1 원형 로우에서의 8 개의 나사산 소켓들 및 상기 내측 전극의 반경 중 1/2보다 큰 반경 상에 위치된 제 2 원형 로우에서의 8 개의 나사산 소켓들을 포함하는, 샤워헤드 전극.
  5. 제 2 항에 있어서,
    상기 정렬 핀들을 수용하도록 구성된 상기 복수의 무나사산 블라인드 홀들은 제 1 세트의 홀들 및 제 2 세트의 홀들을 포함하고,
    상기 제 1 세트의 홀들은, (a) 상기 내측 전극의 센터로부터 약 1.7-1.8 인치의 반경 거리에 위치되고; (b) 방사상으로 정렬되고 서로로부터 약 175°만큼 방위각적으로 오프셋되고; (c) 약 0.10-0.12 인치의 직경을 가지며; (d) 적어도 0.2 인치의 깊이를 갖는, 2 개의 홀들을 포함하고,
    상기 제 2 세트의 홀들은, (a) 상기 내측 전극의 센터로부터 약 6.0-6.1 인치의 반경 거리에 위치되고; (b) 제 1 홀이 상기 제 1 세트에서의 하나의 홀로부터 시계 방향으로 약 10°만큼 방위각적으로 오프셋되고; (c) 제 2 홀 및 제 3 홀이 상기 제 1 홀과 방사상으로 정렬되고, 상기 제 1 홀로부터 반시계 방향으로 약 92.5°및 약 190°만큼 방위각적으로 오프셋되고; (d) 약 0.11-0.12 인치의 직경을 가지며; (e) 적어도 0.1 인치의 깊이를 갖는, 상기 제 1 홀, 제 2 홀 및 제 3 홀을 포함하는, 샤워헤드 전극.
  6. 제 2 항에 있어서,
    상기 내측 전극은 약 0.4 인치의 균일한 두께 및 약 12.5 인치의 직경을 갖는 평면 디스크이고;
    상기 환상 단차는 약 12.0 인치의 내경 및 약 0.2 인치 길이의 수직 면을 갖고;
    상기 환상 홈은 약 0.44 인치의 외경, 약 0.24 인치의 내경 및 적어도 0.1 인치의 깊이를 갖고;
    상기 내측 전극은 0.005 와 0.020 Ohm-cm 사이의 저항률 및 10 ppm (parts per million) 미만의 총 중금속 오염을 갖는 단결정 실리콘 또는 다결정 실리콘의 플레이트로부터 제조되는, 샤워헤드 전극.
  7. 제 2 항에 기재된 상기 내측 전극을 포함하는 샤워헤드 전극 어셈블리로서,
    상기 내측 전극의 각각의 나사산 소켓 안으로 스레딩된 스터드/소켓 어셈블리; 및
    캠 샤프트들이 탑재되는 보어 (bore) 들을 갖는 백킹 플레이트를 더 포함하고,
    상기 샤워헤드 전극은 단지 상기 캠 샤프트들과 맞물리는 상기 스터드/소켓 어셈블리들에 의해 상기 백킹 플레이트에 고정되는, 샤워헤드 전극 어셈블리.
  8. 제 7 항에 있어서,
    상기 샤워헤드 전극의 상기 나사산 소켓들 안에 스레딩된 스터드/소켓 어셈블리들 중 2 개는 단일의 캠 샤프트와 맞물리는, 샤워헤드 전극 어셈블리.
  9. 제 2 항에 기재된 상기 내측 전극을 포함하는 샤워헤드 전극 어셈블리로서,
    상기 백킹 플레이트의 하부 면의 개구들과 맞물리는 스터드/소켓 어셈블리들을 수용하도록 구성된 스레딩된 소켓들 및 내측 플랜지를 포함하는 외측 전극;
    상기 백킹 플레이트의 하부 면의 개구들과 맞물리는 스터드/소켓 어셈블리들을 수용하도록 구성된 복수의 스레딩된 소켓들을 포함하는 환상 슈라우드;
    상기 외측 전극의 각각의 나사산 소켓 안에 스레딩된 스터드/소켓 어셈블리로서, 상기 외측 전극은 외측 플랜지 및 상기 내측 플랜지를 포함하고, 상기 내측 플랜지는 상기 내측 전극의 상기 환상 단차 위에 있는, 상기 외측 전극의 각각의 나사산 소켓 안에 스레딩된 스터드/소켓 어셈블리; 및
    상기 환상 슈라우드의 각각의 나사산 소켓 안에 스레딩된 스터드/소켓 어셈블리로서, 상기 환상 슈라우드는 상기 외측 전극의 상기 외측 플랜지 위에 있는 내측 플랜지를 갖는, 상기 환상 슈라우드의 각각의 나사산 소켓 안에 스레딩된 스터드/소켓 어셈블리를 더 포함하고,
    상기 외측 전극 및 상기 환상 슈라우드는 상기 캠 샤프트들과 맞물린 상기 스터드/소켓 어셈블리들에 의해 상기 백킹 플레이트에 고정되는, 샤워헤드 전극 어셈블리.
  10. 제 9 항에 있어서,
    상기 외측 전극의 나사산 소켓 내에 스레딩된 스터드/소켓 어셈블리 및 상기 환상 슈라우드의 나사산 소켓 내에 스레딩된 스터드/소켓 어셈블리는 단일의 캠 샤프트와 맞물리는, 샤워헤드 전극 어셈블리.
  11. 제 9 항에 기재된 샤워헤드 전극 어셈블리를 어셈블링하는 방법으로서,
    상기 내측 전극의 상기 탑재 면 상의 상기 환상 홈 안으로 정렬 링을 삽입하는 단계;
    상기 내측 전극의 상기 탑재 면 상의 복수의 무나사산 블라인드 홀들 안으로 정렬 핀들을 삽입하는 단계;
    상기 내측 전극의 상기 탑재 면 상에 내측 가스켓을 탑재하는 단계;
    상기 내측 가스켓이 탑재되어 있는 상기 내측 전극을 캠 록들을 이용하여 백킹 플레이트에 고정하는 단계;
    상기 외측 전극의 상기 상부 면 상에 제 1 환상 가스켓을 배치하는 단계;
    상기 환상 슈라우드 상에 제 2 환상 가스켓을 배치하는 단계;
    상기 제 1 환상 가스켓이 탑재되어 있는 상기 외측 전극 및 상기 제 2 환상 가스켓이 탑재되어 있는 상기 환상 슈라우드를 캠 록들을 이용하여 상기 백킹 플레이트에 고정하는 단계를 포함하는, 샤워헤드 전극 어셈블리를 어셈블링하는 방법.
  12. 제 9 항에 있어서,
    상기 샤워헤드 전극 어셈블리에 탑재되도록 구성된 열적 및 전기적 전도성 가스켓 세트를 더 포함하고,
    상기 가스켓 세트는,
    상기 내측 전극 상에 탑재되고, 복수의 스포크들에 의해 연결된 복수의 동심형 평평한 링들을 포함하는 내측 가스켓;
    상기 내측 가스켓과 동심형이고 상기 외측 전극 상에 탑재되고, 복수의 컷아웃들을 갖는 평평한 환상 링을 포함하는 제 1 환상 가스켓; 및
    상기 제 1 환상 가스켓과 동심형이고 상기 환상 슈라우드 상에 탑재되고, 복수의 컷아웃들을 갖는 평평한 환상 링을 포함하는 제 2 환상 가스켓으로 이루어지고,
    상기 가스켓 세트는 가스 주입 홀들, 정렬 핀 홀들, 상기 정렬 링 홈 및 상기 나사산 소켓들을 수용하는, 샤워헤드 전극 어셈블리.
  13. 제 12 항에 있어서,
    상기 내측 가스켓에서의 상기 동심형 평평한 링들은 연속적이거나 세그먼트화되는, 샤워헤드 전극 어셈블리.
  14. 제 12 항에 있어서,
    상기 내측 가스켓은 약 0.006 인치의 두께 및 적어도 0.1 인치의 폭을 갖는 적어도 6 개의 동심형 평평한 링들을 포함하고,
    제 1 링은 적어도 0.44 인치의 내경 및 최대 1.35 인치의 외경을 갖고;
    제 2 링은 적어도 1.35 인치의 내경 및 최대 2.68 인치의 외경을 갖고;
    제 3 링은 적어도 2.68 인치의 내경 및 최대 4.23 인치의 외경을 갖고;
    제 4 링은 적어도 4.23 인치의 내경 및 최대 5.79 인치의 외경을 갖고;
    제 5 링은 적어도 5.79 인치의 내경 및 최대 7.34 인치의 외경을 가지며;
    제 6 링은 적어도 7.34 인치의 내경 및 최대 8.89 인치의 외경을 갖는, 샤워헤드 전극 어셈블리.
  15. 제 14 항에 있어서,
    상기 내측 가스켓은 9 개의 동심형 평평한 링들을 포함하고,
    제 7 링은 적어도 8.89 인치의 내경 및 최대 10.18 인치의 외경을 갖고;
    제 8 링은 적어도 10.18 인치의 내경 및 최대 11.46 인치의 외경을 가지며;
    제 9 링은 11.92 와 11.97 인치 사이의 내경 및 12.45 와 12.50 인치 사이의 외경을 갖는, 샤워헤드 전극 어셈블리.
  16. 제 12 항에 있어서,
    (a) 상기 제 1 환상 가스켓은 내측 주변부 상의 하나의 컷아웃 및 스터드/소켓 어셈블리들을 수용하도록 구성된 8 개의 홀들의 제 1 세트 및 툴 액세스를 허용하도록 구성된 3 개의 홀들의 제 2 세트를 갖고, 상기 제 1 세트에서의 홀들의 직경은 상기 제 2 세트에서의 홀들의 직경보다 크고;
    (b) 상기 제 2 환상 가스켓은 스터드/소켓 어셈블리들을 수용하도록 구성된 외측 주변부 상의 8 개의 컷아웃들을 갖고 내측 주변부 상에는 컷아웃들이 없는, 샤워헤드 전극 어셈블리.
  17. 제 12 항에 있어서,
    (a) 상기 제 1 환상 가스켓은 약 0.006 인치의 두께, 약 1.3 인치의 폭, 약 14.06 인치의 내경 및 약 16.75 인치의 외경을 가지며;
    (b) 상기 제 2 환상 가스켓은 약 0.006 인치의 두께, 약 0.7 인치의 폭, 약 17.29 인치의 내경 및 약 18.69 인치의 외경을 갖는, 샤워헤드 전극 어셈블리.
KR2020137000011U 2010-09-03 2011-08-25 샤워헤드 전극 KR200478781Y1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/875,869 2010-09-03
US12/875,869 US8573152B2 (en) 2010-09-03 2010-09-03 Showerhead electrode
PCT/US2011/001500 WO2012030382A2 (en) 2010-09-03 2011-08-25 Showerhead electrode

Publications (2)

Publication Number Publication Date
KR20130002985U KR20130002985U (ko) 2013-05-21
KR200478781Y1 true KR200478781Y1 (ko) 2015-11-13

Family

ID=45769806

Family Applications (1)

Application Number Title Priority Date Filing Date
KR2020137000011U KR200478781Y1 (ko) 2010-09-03 2011-08-25 샤워헤드 전극

Country Status (7)

Country Link
US (1) US8573152B2 (ko)
JP (1) JP3189241U (ko)
KR (1) KR200478781Y1 (ko)
CN (1) CN203481190U (ko)
SG (1) SG188356A1 (ko)
TW (1) TWI533372B (ko)
WO (1) WO2012030382A2 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200040385A (ko) 2018-10-10 2020-04-20 (주) 일하하이텍 샤워 헤드용 가스켓
KR102168313B1 (ko) * 2019-09-09 2020-10-21 김홍석 플라즈마챔버 전극 체결부재

Families Citing this family (413)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8272346B2 (en) * 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR200464037Y1 (ko) 2009-10-13 2012-12-07 램 리써치 코포레이션 샤워헤드 전극 어셈블리의 에지-클램핑되고 기계적으로 패스닝된 내부 전극
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8562785B2 (en) * 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US9245717B2 (en) 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9083182B2 (en) 2011-11-21 2015-07-14 Lam Research Corporation Bypass capacitors for high voltage bias power in the mid frequency RF range
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
US9263240B2 (en) * 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
KR101971312B1 (ko) * 2011-11-23 2019-04-22 램 리써치 코포레이션 다중 존 가스 주입 상부 전극 시스템
SG11201402447TA (en) 2011-11-24 2014-06-27 Lam Res Corp Plasma processing chamber with flexible symmetric rf return strap
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9023734B2 (en) * 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
EP2983763B1 (en) * 2013-04-10 2017-05-24 Sanofi Drive mechanism for a drug delivery device
KR20150143793A (ko) * 2013-04-17 2015-12-23 도쿄엘렉트론가부시키가이샤 균일한 플라즈마 밀도를 가진 용량 결합형 플라즈마 장비
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
CN103878744B (zh) * 2014-04-15 2016-03-23 成都四威高科技产业园有限公司 一种快速穿套螺钉垫片的方法
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9911579B2 (en) * 2014-07-03 2018-03-06 Applied Materials, Inc. Showerhead having a detachable high resistivity gas distribution plate
JP6375163B2 (ja) * 2014-07-11 2018-08-15 東京エレクトロン株式会社 プラズマ処理装置および上部電極アセンブリ
JP6298373B2 (ja) * 2014-07-11 2018-03-20 東京エレクトロン株式会社 プラズマ処理装置および上部電極アセンブリ
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9847599B2 (en) 2014-10-17 2017-12-19 Raytheon Company Longitudinal, tolerance-mitigating cam-lock fastening system
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
TWD177995S (zh) * 2015-11-18 2016-09-01 ASM知識產權私人控股有&#x9 用於半導體製造設備之氣體供應板
US10358722B2 (en) * 2015-12-14 2019-07-23 Lam Research Corporation Showerhead assembly
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10403476B2 (en) 2016-11-09 2019-09-03 Lam Research Corporation Active showerhead
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR101855654B1 (ko) * 2016-12-23 2018-05-08 주식회사 테스 대면적 샤워헤드 어셈블리
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10669048B1 (en) * 2017-06-15 2020-06-02 United Launch Alliance, L.L.C. Mechanism for increasing jettison clearance
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US20190048467A1 (en) * 2017-08-10 2019-02-14 Applied Materials, Inc. Showerhead and process chamber incorporating same
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
CN109958681B (zh) * 2017-12-22 2020-12-11 中微半导体设备(上海)股份有限公司 一种脆性材料零件安装装置及其应用
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) * 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
JP1624668S (ko) * 2018-06-08 2019-02-18
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20200093754A (ko) * 2019-01-29 2020-08-06 주성엔지니어링(주) 샤워헤드 및 이를 포함하는 기판처리장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US10954595B2 (en) * 2019-07-30 2021-03-23 Applied Materials, Inc. High power showerhead with recursive gas flow distribution
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202115815A (zh) * 2019-10-04 2021-04-16 美商應用材料股份有限公司 用於易碎板以防止破裂的氣體分配組件安裝
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112922935B (zh) * 2019-12-05 2023-06-30 中微半导体设备(上海)股份有限公司 连接结构和等离子体处理装置
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US20210331183A1 (en) * 2020-04-24 2021-10-28 Applied Materials, Inc. Fasteners for coupling components of showerhead assemblies
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11898245B2 (en) * 2021-02-26 2024-02-13 Applied Materials, Inc. High throughput and metal contamination control oven for chamber component cleaning process
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6086677A (en) 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6302964B1 (en) 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US20100003824A1 (en) 2008-07-07 2010-01-07 Lam Research Corporation Clamped showerhead electrode assembly
US20100003829A1 (en) 2008-07-07 2010-01-07 Lam Research Corporation Clamped monolithic showerhead electrode

Family Cites Families (133)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4585920A (en) 1982-05-21 1986-04-29 Tegal Corporation Plasma reactor removable insert
US4612077A (en) 1985-07-29 1986-09-16 The Perkin-Elmer Corporation Electrode for plasma etching system
US4908095A (en) 1988-05-02 1990-03-13 Tokyo Electron Limited Etching device, and etching method
US5074456A (en) 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
JPH04355917A (ja) 1990-10-12 1992-12-09 Seiko Epson Corp 半導体装置の製造装置
US5356515A (en) 1990-10-19 1994-10-18 Tokyo Electron Limited Dry etching method
USD363464S (en) 1992-08-27 1995-10-24 Tokyo Electron Yamanashi Limited Electrode for a semiconductor processing apparatus
US5423936A (en) 1992-10-19 1995-06-13 Hitachi, Ltd. Plasma etching system
KR100324792B1 (ko) 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
KR950020993A (ko) 1993-12-22 1995-07-26 김광호 반도체 제조장치
US5680013A (en) 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US5589002A (en) 1994-03-24 1996-12-31 Applied Materials, Inc. Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing
JP3468859B2 (ja) 1994-08-16 2003-11-17 富士通株式会社 気相処理装置及び気相処理方法
US5746875A (en) 1994-09-16 1998-05-05 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5590975A (en) 1994-12-06 1997-01-07 Horntvedt; Earl Fastening assembly
WO1996031997A1 (fr) 1995-04-07 1996-10-10 Seiko Epson Corporation Equipement de traitement de surface
US5569356A (en) 1995-05-19 1996-10-29 Lam Research Corporation Electrode clamping assembly and method for assembly and use thereof
US5534751A (en) 1995-07-10 1996-07-09 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
US5792269A (en) 1995-10-31 1998-08-11 Applied Materials, Inc. Gas distribution for CVD systems
USD411516S (en) 1996-03-15 1999-06-29 Tokyo Electron Limited Gas diffusion plate for electrode of semiconductor wafer processing apparatus
JP3728021B2 (ja) 1996-06-28 2005-12-21 日清紡績株式会社 プラズマエッチング電極及びその製造方法
JP3310171B2 (ja) 1996-07-17 2002-07-29 松下電器産業株式会社 プラズマ処理装置
US5882411A (en) * 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
US6444037B1 (en) 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
US5740009A (en) 1996-11-29 1998-04-14 Applied Materials, Inc. Apparatus for improving wafer and chuck edge protection
TW415970B (en) 1997-01-08 2000-12-21 Ebara Corp Vapor-phase film growth apparatus and gas ejection head
USD412513S (en) 1997-01-29 1999-08-03 Tokyo Electron Limited Upper electrode for manufacturing semiconductors
KR100434790B1 (ko) 1997-05-20 2004-06-07 동경 엘렉트론 주식회사 처리 장치
US6024799A (en) 1997-07-11 2000-02-15 Applied Materials, Inc. Chemical vapor deposition manifold
JP3480271B2 (ja) 1997-10-07 2003-12-15 東京エレクトロン株式会社 熱処理装置のシャワーヘッド構造
US6110556A (en) 1997-10-17 2000-08-29 Applied Materials, Inc. Lid assembly for a process chamber employing asymmetric flow geometries
US6079356A (en) * 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6039836A (en) 1997-12-19 2000-03-21 Lam Research Corporation Focus rings
USD420022S (en) 1997-12-24 2000-02-01 Applied Materials, Inc. Electrostatic chuck with improved spacing and charge migration reduction mask
US6050506A (en) 1998-02-13 2000-04-18 Applied Materials, Inc. Pattern of apertures in a showerhead for chemical vapor deposition
US5997649A (en) 1998-04-09 1999-12-07 Tokyo Electron Limited Stacked showerhead assembly for delivering gases and RF power to a reaction chamber
US6550126B1 (en) 1998-07-30 2003-04-22 Sony Electronics, Inc Method for mounting electrode assembly
US6228208B1 (en) 1998-08-12 2001-05-08 Applied Materials, Inc. Plasma density and etch rate enhancing semiconductor processing chamber
US6050216A (en) 1998-08-21 2000-04-18 M.E.C. Technology, Inc. Showerhead electrode for plasma processing
US6178919B1 (en) 1998-12-28 2001-01-30 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
WO2000045425A1 (en) 1999-02-01 2000-08-03 Tokyo Electron Limited Etching system and etching chamber
US20020179245A1 (en) 1999-03-17 2002-12-05 Toshio Masuda Plasma processing apparatus and maintenance method therefor
US6305677B1 (en) 1999-03-30 2001-10-23 Lam Research Corporation Perimeter wafer lifting
US6173673B1 (en) 1999-03-31 2001-01-16 Tokyo Electron Limited Method and apparatus for insulating a high power RF electrode through which plasma discharge gases are injected into a processing chamber
US6200415B1 (en) 1999-06-30 2001-03-13 Lam Research Corporation Load controlled rapid assembly clamp ring
US6206972B1 (en) 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6495233B1 (en) 1999-07-09 2002-12-17 Applied Materials, Inc. Apparatus for distributing gases in a chemical vapor deposition system
JP4323021B2 (ja) 1999-09-13 2009-09-02 株式会社エフオーアイ プラズマ処理装置
USD441348S1 (en) 1999-11-30 2001-05-01 Applied Materials, Inc. Process chamber lid
US6350317B1 (en) 1999-12-30 2002-02-26 Lam Research Corporation Linear drive system for use in a plasma processing system
JP4437351B2 (ja) 2000-01-14 2010-03-24 キヤノンアネルバ株式会社 プラズマエッチング装置
US6477980B1 (en) 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6170432B1 (en) 2000-01-24 2001-01-09 M.E.C. Technology, Inc. Showerhead electrode assembly for plasma processing
US6237528B1 (en) 2000-01-24 2001-05-29 M.E.C. Technology, Inc. Showerhead electrode assembly for plasma processing
US6383931B1 (en) 2000-02-11 2002-05-07 Lam Research Corporation Convertible hot edge ring to improve low-K dielectric etch
JP3411539B2 (ja) 2000-03-06 2003-06-03 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
US7196283B2 (en) 2000-03-17 2007-03-27 Applied Materials, Inc. Plasma reactor overhead source power electrode with low arcing tendency, cylindrical gas outlets and shaped surface
JP4592916B2 (ja) 2000-04-25 2010-12-08 東京エレクトロン株式会社 被処理体の載置装置
US6553932B2 (en) 2000-05-12 2003-04-29 Applied Materials, Inc. Reduction of plasma edge effect on plasma enhanced CVD processes
KR100638917B1 (ko) 2000-05-17 2006-10-25 동경 엘렉트론 주식회사 처리 장치 부품의 조립 기구 및 그 조립 방법
US6461435B1 (en) 2000-06-22 2002-10-08 Applied Materials, Inc. Showerhead with reduced contact area
US6753498B2 (en) 2000-07-20 2004-06-22 Tokyo Electron Limited Automated electrode replacement apparatus for a plasma processing system
US6412437B1 (en) 2000-08-18 2002-07-02 Micron Technology, Inc. Plasma enhanced chemical vapor deposition reactor and plasma enhanced chemical vapor deposition process
US6391787B1 (en) 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
JP3775987B2 (ja) 2000-12-26 2006-05-17 松下電器産業株式会社 プラズマ処理装置
US7211170B2 (en) 2001-04-02 2007-05-01 Lam Research Corporation Twist-N-Lock wafer area pressure ring and assembly
US6818096B2 (en) 2001-04-12 2004-11-16 Michael Barnes Plasma reactor electrode
KR100400044B1 (ko) 2001-07-16 2003-09-29 삼성전자주식회사 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드
US6786175B2 (en) 2001-08-08 2004-09-07 Lam Research Corporation Showerhead electrode design for semiconductor processing reactor
US6586886B1 (en) 2001-12-19 2003-07-01 Applied Materials, Inc. Gas distribution plate electrode for a plasma reactor
US20030127806A1 (en) 2001-12-27 2003-07-10 Belchuk Mark A. Alignment feature for a fuel cell seal
US6827815B2 (en) 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
US7479304B2 (en) 2002-02-14 2009-01-20 Applied Materials, Inc. Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate
US20030185729A1 (en) 2002-03-29 2003-10-02 Ho Ko Electrode assembly for processing a semiconductor substrate and processing apparatus having the same
US6936135B2 (en) 2002-04-17 2005-08-30 Lam Research Corporation Twist-N-Lock wafer area pressure ring and assembly for reducing particulate contaminant in a plasma processing chamber
USD490450S1 (en) 2002-05-20 2004-05-25 Tokyo Electron Limited Exhaust ring for semiconductor equipment
WO2003100817A1 (en) 2002-05-23 2003-12-04 Lam Research Corporation Multi-part electrode for a semiconductor processing plasma reactor and method of replacing a portion of a mutli-part electrode
USD493873S1 (en) 2002-05-24 2004-08-03 Tokyo Electron Limited Heating gas supplier for semiconductor manufacturing equipment
US7166200B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
JP4753276B2 (ja) 2002-11-26 2011-08-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US7270713B2 (en) 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
JP4472372B2 (ja) 2003-02-03 2010-06-02 株式会社オクテック プラズマ処理装置及びプラズマ処理装置用の電極板
US20040173313A1 (en) 2003-03-03 2004-09-09 Bradley Beach Fire polished showerhead electrode
US6942753B2 (en) 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US7296534B2 (en) 2003-04-30 2007-11-20 Tokyo Electron Limited Hybrid ball-lock attachment apparatus
US7083702B2 (en) 2003-06-12 2006-08-01 Applied Materials, Inc. RF current return path for a large area substrate plasma reactor
JP2005019606A (ja) 2003-06-25 2005-01-20 Anelva Corp プラズマ処理装置におけるガスシャワーヘッドまたはターゲットプレートを電極に固定する装置
WO2005052414A2 (en) 2003-11-25 2005-06-09 Garlock Sealing Technologies, Llc Corrugated gasket core with profiled surface
US7645341B2 (en) 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
JP4403919B2 (ja) 2004-04-01 2010-01-27 株式会社Sumco 耐久性に優れたプラズマエッチング用シリコン電極板
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US7712434B2 (en) 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US7740737B2 (en) 2004-06-21 2010-06-22 Tokyo Electron Limited Plasma processing apparatus and method
US7988816B2 (en) 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
JP4550507B2 (ja) 2004-07-26 2010-09-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
US20060042754A1 (en) 2004-07-30 2006-03-02 Tokyo Electron Limited Plasma etching apparatus
US20060043067A1 (en) 2004-08-26 2006-03-02 Lam Research Corporation Yttria insulator ring for use inside a plasma chamber
US7244311B2 (en) 2004-10-13 2007-07-17 Lam Research Corporation Heat transfer system for improved semiconductor processing uniformity
JP2006128000A (ja) 2004-10-29 2006-05-18 Advanced Lcd Technologies Development Center Co Ltd プラズマ処理装置
US20060108069A1 (en) 2004-11-19 2006-05-25 Samsung Electronics Co., Ltd. Plasma reaction chamber and captive silicon electrode plate for processing semiconductor wafers
US7430986B2 (en) 2005-03-18 2008-10-07 Lam Research Corporation Plasma confinement ring assemblies having reduced polymer deposition characteristics
KR100621778B1 (ko) 2005-06-17 2006-09-11 삼성전자주식회사 플라즈마 처리 장치
US7713379B2 (en) 2005-06-20 2010-05-11 Lam Research Corporation Plasma confinement rings including RF absorbing material for reducing polymer deposition
US7431788B2 (en) 2005-07-19 2008-10-07 Lam Research Corporation Method of protecting a bond layer in a substrate support adapted for use in a plasma processing system
US20070032081A1 (en) 2005-08-08 2007-02-08 Jeremy Chang Edge ring assembly with dielectric spacer ring
JP4628900B2 (ja) 2005-08-24 2011-02-09 株式会社日立ハイテクノロジーズ プラズマ処理装置
US8679252B2 (en) 2005-09-23 2014-03-25 Lam Research Corporation Actively heated aluminum baffle component having improved particle performance and methods of use and manufacture thereof
US8789493B2 (en) 2006-02-13 2014-07-29 Lam Research Corporation Sealed elastomer bonded Si electrodes and the like for reduced particle contamination in dielectric etch
US8008596B2 (en) 2006-03-16 2011-08-30 Tokyo Electron Limited Plasma processing apparatus and electrode used therein
US8635971B2 (en) 2006-03-31 2014-01-28 Lam Research Corporation Tunable uniformity in a plasma processing system
US7829468B2 (en) 2006-06-07 2010-11-09 Lam Research Corporation Method and apparatus to detect fault conditions of plasma processing reactor
US7740736B2 (en) 2006-06-08 2010-06-22 Lam Research Corporation Methods and apparatus for preventing plasma un-confinement events in a plasma processing chamber
US7854820B2 (en) 2006-10-16 2010-12-21 Lam Research Corporation Upper electrode backing member with particle reducing features
US7875824B2 (en) 2006-10-16 2011-01-25 Lam Research Corporation Quartz guard ring centering features
US20080087641A1 (en) 2006-10-16 2008-04-17 Lam Research Corporation Components for a plasma processing apparatus
US8702866B2 (en) 2006-12-18 2014-04-22 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
US8398778B2 (en) 2007-01-26 2013-03-19 Lam Research Corporation Control of bevel etch film profile using plasma exclusion zone rings larger than the wafer diameter
US8216418B2 (en) 2007-06-13 2012-07-10 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings
US7862682B2 (en) 2007-06-13 2011-01-04 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
US8313610B2 (en) 2007-09-25 2012-11-20 Lam Research Corporation Temperature control modules for showerhead electrode assemblies for plasma processing apparatuses
US8152954B2 (en) 2007-10-12 2012-04-10 Lam Research Corporation Showerhead electrode assemblies and plasma processing chambers incorporating the same
US8187414B2 (en) 2007-10-12 2012-05-29 Lam Research Corporation Anchoring inserts, electrode assemblies, and plasma processing chambers
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
KR101553422B1 (ko) 2007-12-19 2015-09-15 램 리써치 코포레이션 플라즈마 처리 장치를 위한 복합 샤워헤드 전극 어셈블리
JP5224855B2 (ja) 2008-03-05 2013-07-03 東京エレクトロン株式会社 電極ユニット、基板処理装置及び電極ユニットの温度制御方法
JP5650547B2 (ja) 2008-03-14 2015-01-07 ラム リサーチ コーポレーションLam Research Corporation カムロック電極クランプ
US8187413B2 (en) 2008-03-18 2012-05-29 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket
US8206506B2 (en) 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8402918B2 (en) 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) * 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
US8419959B2 (en) * 2009-09-18 2013-04-16 Lam Research Corporation Clamped monolithic showerhead electrode
KR200464037Y1 (ko) * 2009-10-13 2012-12-07 램 리써치 코포레이션 샤워헤드 전극 어셈블리의 에지-클램핑되고 기계적으로 패스닝된 내부 전극
US8470127B2 (en) * 2011-01-06 2013-06-25 Lam Research Corporation Cam-locked showerhead electrode and assembly

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6086677A (en) 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6302964B1 (en) 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US20100003824A1 (en) 2008-07-07 2010-01-07 Lam Research Corporation Clamped showerhead electrode assembly
US20100003829A1 (en) 2008-07-07 2010-01-07 Lam Research Corporation Clamped monolithic showerhead electrode

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200040385A (ko) 2018-10-10 2020-04-20 (주) 일하하이텍 샤워 헤드용 가스켓
KR102168313B1 (ko) * 2019-09-09 2020-10-21 김홍석 플라즈마챔버 전극 체결부재

Also Published As

Publication number Publication date
TW201218270A (en) 2012-05-01
US20120055632A1 (en) 2012-03-08
TWI533372B (zh) 2016-05-11
CN203481190U (zh) 2014-03-12
WO2012030382A3 (en) 2012-08-23
US8573152B2 (en) 2013-11-05
SG188356A1 (en) 2013-04-30
JP3189241U (ja) 2014-03-06
WO2012030382A2 (en) 2012-03-08
KR20130002985U (ko) 2013-05-21

Similar Documents

Publication Publication Date Title
KR200478781Y1 (ko) 샤워헤드 전극
US10262834B2 (en) Edge-clamped and mechanically fastened inner electrode of showerhead electrode assembly
US8470127B2 (en) Cam-locked showerhead electrode and assembly
US8536071B2 (en) Gasket with positioning feature for clamped monolithic showerhead electrode
US8414719B2 (en) Clamped monolithic showerhead electrode
US8419959B2 (en) Clamped monolithic showerhead electrode
JP5615813B2 (ja) クランプ式シャワーヘッド電極組立体

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
REGI Registration of establishment
FPAY Annual fee payment

Payment date: 20181025

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20191029

Year of fee payment: 5