CN1685174A - 液体流动控制器和精密分配设备及系统 - Google Patents

液体流动控制器和精密分配设备及系统 Download PDF

Info

Publication number
CN1685174A
CN1685174A CNA038224615A CN03822461A CN1685174A CN 1685174 A CN1685174 A CN 1685174A CN A038224615 A CNA038224615 A CN A038224615A CN 03822461 A CN03822461 A CN 03822461A CN 1685174 A CN1685174 A CN 1685174A
Authority
CN
China
Prior art keywords
valve
fluid
pressure
proportional
pneumatic
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA038224615A
Other languages
English (en)
Other versions
CN100374768C (zh
Inventor
M·拉弗迪尔
R·F·麦克罗林
G·贡内拉
I·加什盖
J·马尔斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Entegris Inc
Original Assignee
Mykrolis Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Mykrolis Corp filed Critical Mykrolis Corp
Publication of CN1685174A publication Critical patent/CN1685174A/zh
Application granted granted Critical
Publication of CN100374768C publication Critical patent/CN100374768C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05DSYSTEMS FOR CONTROLLING OR REGULATING NON-ELECTRIC VARIABLES
    • G05D7/00Control of flow
    • G05D7/06Control of flow characterised by the use of electric means
    • G05D7/0617Control of flow characterised by the use of electric means specially adapted for fluid materials
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K31/00Actuating devices; Operating means; Releasing devices
    • F16K31/12Actuating devices; Operating means; Releasing devices actuated by fluid
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05DSYSTEMS FOR CONTROLLING OR REGULATING NON-ELECTRIC VARIABLES
    • G05D16/00Control of fluid pressure
    • G05D16/20Control of fluid pressure characterised by the use of electric means
    • G05D16/2006Control of fluid pressure characterised by the use of electric means with direct action of electric energy on controlling means
    • G05D16/2013Control of fluid pressure characterised by the use of electric means with direct action of electric energy on controlling means using throttling means as controlling means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/0318Processes
    • Y10T137/0396Involving pressure control
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/7722Line condition change responsive valves
    • Y10T137/7758Pilot or servo controlled
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/7722Line condition change responsive valves
    • Y10T137/7758Pilot or servo controlled
    • Y10T137/7759Responsive to change in rate of fluid flow
    • Y10T137/776Control by pressures across flow line valve
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/7722Line condition change responsive valves
    • Y10T137/7758Pilot or servo controlled
    • Y10T137/7761Electrically actuated valve

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Fluid Mechanics (AREA)
  • Automation & Control Theory (AREA)
  • General Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Mechanical Engineering (AREA)
  • Control Of Fluid Pressure (AREA)
  • Flow Control (AREA)

Abstract

本发明涉及一种用于(优选为数字地)监测和/或控制施加于气动载荷(诸如比例流体控制阀)的压力并且使用来自于流体测量装置的响应于流率的测量输入的设备和控制系统。所述液体测量输入用于控制施加于气动载荷的压力以使得气动载荷可增加或减小(以便于按比例地打开或关闭气动阀),从而将流体的流率改变为期望流率。气动载荷也可被调节(以便于按比例地打开或关闭气动阀),从而适应流体的温度和粘性的变化。

Description

液体流动控制器和精密分配设备及系统
背景技术
在半导体的制造过程中,多种不同的流体必须被精密且精确地分配和沉积在待处理的衬底上,所述流体诸如去离子水、光致抗蚀剂、旋涂电介质(spin on dielectrics)、旋涂玻璃(spin on glass)、聚酰亚胺、显影剂以及化学机械抛光(CMP)悬浮液,以上仅作为几个例子。例如,在用于所述应用的传统设备中,待处理的晶片被布置在用于分配预定量的液体或悬浮液以涂覆或处理晶片的适合喷嘴下面。不仅根据沉积在所述晶片上的流体的绝对量或质量,而且还根据泵循环、管尺寸以及流体收容环境的其他特征假定出预定量。通常之后使得晶片转动以便于将所沉积的液体均匀地分配在所述晶片的整个表面上。显然,在该过程中所分配液体的分配速率和分配量是重要的。
当通过喷嘴的流体流动停止时(诸如在晶片处理之间),存在来自于喷嘴的液体形成小液滴并且落在布置于喷嘴下面的晶片上的可能性。这可能会毁坏形成在所述晶片上的图案,从而需要丢弃或重新处理所述晶片。为了避免在喷嘴上形成有害小液滴,通常使用反吸阀或止动/反吸阀。后面所提及的这种阀一般为双气动控制阀对,其中一个阀止住液体朝向喷嘴的流动,而另一个阀从喷嘴的分配端或出口将液体抽回。这不仅有助于防止小液滴形成和在出口处滴落,而且还有助于防止液体暴露表面的干燥,液体暴露表面的干燥可能导致喷嘴堵塞并且减少出口处的流体污染。
由于扰动问题的出现,使得较大晶片(例如,直径为300mm或更大)的涂覆也成问题。通常,晶片的转动速度用于从涂覆流体被施加的晶片中心朝向晶片的边缘径向向外地扩散涂覆流体。然而,该方法会在晶片上形成扰动空气流并且可导致不均匀的涂覆。减小较大晶片的转动速度会减小晶片表面的扰动,但是可引发新问题。随着速度减小,流体更缓慢地流过晶片,从而在流体开始建立或干燥之前流体扩散到晶片边缘上会成为问题。
通常,在半导体制造操作中使用泵来分配液体。然而,适用于这样应用的泵是昂贵的并且由于过度磨损而需要频繁更换。另外,这样的泵的占地面积可能太大而被认为不适用于最需要的应用。
可使用包括压差测量的诸如NT 6500(Entegris Corp.,Chaska,MN)的液体流动控制器,但是它们不适用于具有不同流速和/或粘性的宽范围。用于提供易调节压力降的模块解决方法是希望的。
因此,所希望的是提供一种流动测量和分配系统,所述系统在没有前述缺点的情况下可精密、可再现地分配流体。另外,本发明可适用于期望或需要流体流动精密控制的情况中。
另外,所希望的是提供用于精确、可重复地分配流体的无马达的泵系统。
另外,所希望的是提供气动比例流动阀,所述气动比例流动阀为线性或基本为线性的,能够显示最小压力降以及显示出最小滞后或无滞后。
发明内容
本发明已克服了现有技术的问题,本发明包括用于监测(最好数字地)和/或控制施加于气动载荷(诸如比例流体控制阀)的压力并且使用来自于流体测量装置的响应于流率的测量输入的设备和控制系统,所述液体测量输入用于控制施加于气动载荷的压力以使得气动载荷可增加或减小(以便于按比例地打开或关闭气动阀),从而将流体的流率改变为期望流率。气动载荷也可被调节(以便于按比例地打开或关闭气动阀)从而适应流体的温度和粘性的变化。
本发明的实施例提供了一种流体测量装置,所述流体测量装置根据在与成比例流体控制阀流体相通的摩擦流动元件上形成的压力降产生流动测量信号。可在所述摩擦流动元件的入口处或入口附近和出口处或出口附近测量流体压力,所述测量信号可被放大并且在它们之间形成的压力降可被转化为被控制的流体的流率输出。所述流率输出可被送到控制器,所述控制器可使得一个或多个阀被调制从而获得期望的流率。
本发明提供适合于多种流体以及具有宽范围粘性的流体的控制系统。它以节省成本和灵活的方式提供了精确和可重复的流体流动控制和分配性能,快速地响应于实时加工偏差并且具有最小的操作者投入。
本发明还涉及一种具有改进的线性和减小的滞后的成比例流体控制阀,用于使用所述阀的液体流体控制器和无马达泵系统。所述阀允许以基本为线性的方式进行流体的平滑、和缓的流动,并且具有最小的转数。所述阀最好是气动驱动的。在温度不是问题的情况下,所述阀可通过任何适合的装置被驱动,诸如步进马达、线性马达、音圈或其他力致动器。
本发明还涉及在流动测量装置上游并且与流动测量装置流体相通的辅助输入模块,所述辅助输入模块可被包含于无马达泵系统中以调节在被输送于流动测量装置之前的流体。该模块可从不增压源(诸如筒)中填充。所述模块还可对来自于增压进给线(房屋供给或增压筒)的不适当或过度的液体压力进行补偿。所述模块还可用于本系统中所用的流体去除泡沫。
本发明提供一种无马达泵系统,所述无马达泵系统适合于各种液体和供给源以使其可允许半导体加工中的多个分配点的标准化并且使消费者以模块方式调节诸如过滤和温度控制等附加特征。
本发明还提供通用的模制阀体,所述模制阀体与机加工阀相比需要更少的零件。在一个实施例中,模制阀体特别被设计成用于流动控制并且包含两个具有精心定位以使得空间的使用最优化的流动路径的传感器外壳。所述传感器外壳可作为插入件被独立地形成,从而使得在各个方位的安装。通过将气动和机械部件安置在阀腔的相对端部中,系统的差压可相反发展并且阀上游的差压可被记录以便于监测供给压力。
本发明的一个实施例可包括被储存在所述计算机可读存储器上并且可由一个或多个处理器执行的一组计算机可读指令,这组计算机可读指令包括用于接收上游压力信号、接收下游压力信号、计算误差信号以及根据上游压力信号、下游压力信号和误差信号计算阀控制信号的可执行指令。
本发明的另一个实施例,一种装置包括储存在所述计算机可读存储器上并且可由一个或多个处理器执行的一组计算机可读指令,这组计算机可读指令包括用于接收上游压力信号、接收下游压力信号、计算误差信号、根据与所述阀相关的阀增益曲线为特定阀确定阀增益、并且根据上游压力信号、下游压力信号、误差信号和阀增益计算阀控制信号的可执行指令,其中所述阀增益根据所述特定阀的位置改变。
本发明的另一个实施例为一种装置,所述装置包括储存在所述计算机可读存储器上并且可由一个或多个处理器执行的一组计算机可读指令,这组计算机可读指令包括用于接收上游压力信号、接收下游压力信号、根据用于上游压力信号和下游压力信号的比例值、积分值和微分值计算误差信号、将误差增益加到误差信号、根据与所述阀相关的阀增益曲线为特定阀确定阀增益、根据上游压力信号、下游压力信号、误差信号和阀增益计算阀控制信号、根据一组过去位置值适当地调节阀控制信号的可执行指令,其中所述阀增益根据所述特定阀的位置改变。
附图说明
图1是本发明一个实施例的框图;
图2是本发明一个实施例所涉及的包含无马达泵或分配模块的气动和流体控制部分的外壳的透视图;
图3是本发明一个实施例所涉及的辅助输入模块的分解装配图;
图4是本发明一个实施例所涉及的微分放大电路的示意图;
图5是本发明一个实施例所涉及的阀增益的曲线图;
图6是本发明一个实施例所涉及的控制系统的流程图;
图7是本发明一个实施例所涉及的压力传感器外壳组件的分解图;
图8A是本发明一个实施例所涉及的比例阀的端视图;
图8B是沿着图8A中的线B-B得到的截面图;
图8C是沿着图8A中的线C-C得到的截面图;
图8D是沿着图8A中的线D-D得到的截面图;
图8E是本发明一个实施例所涉及的图8A的比例阀的分解图;
图9A是常规Furon阀的滞后的曲线图;
图9B是常规SMC阀的滞后的曲线图;
图9C是图8A-8E的阀的滞后的曲线图;
图10是五种不同粘性的压力降相对于流率的曲线图;
图11是示例9所涉及的2-丙醇质量流率相对于时间的曲线图;
图12是本发明所涉及的阀的另一个实施例的分解图;
图13是图12的集成阀和传感器外壳的透视图;
图14A是图12的阀的流体入口侧的横截面的透视图;
图14B是图12的阀的流体出口侧的横截面的透视图;
图15是图12的阀的堆叠阀单元的透视图;
图16是本发明的一个实施例所涉及的具有单一传感器外壳的阀的透视图;
图17是本发明的一个实施例所涉及的没有传感器外壳的阀的透视图;
图18是本发明的一个实施例所涉及的单一传感器外壳的透视图;
图19是本发明的一个实施例所涉及的双传感器外壳的透视图;
图20是本发明的一个实施例所涉及的流动控制器和开/关阀组件的透视图;
图21是本发明的一个实施例所涉及的感测装置的透视图;
图22是本发明的一个实施例所涉及的流动控制器和流量计组件的透视图;
图23A是本发明的一个实施例所涉及的采用下游压力差动构造的阀的横截面的透视图;
图23B是本发明的一个实施例所涉及的采用上游压力差动构造的阀的横截面的透视图;
图24A和图24B是本发明的一个实施例所涉及的阀的透视图;
图25A和图25B是本发明的另一个实施例所涉及的阀的截面图;
图26是本发明的另一个实施例所涉及的阀的截面图;
图27A-27C是与本发明的流体控制阀类似的可在商业上得到的阀的几个截面图;以及图27D是本发明的一个实施例的截面图;
图28A和28B是本发明的另一个实施例所涉及的处于关闭和部分打开的位置的阀的截面图;
图29是本发明的一个实施例所涉及的停止帮助功能的定时/控制图;
图30是表示本发明的一个实施例所涉及可产生阀驱动信号的控制器的框图;
图31是表示控制器的控制逻辑电路的一个实施例的框图;以及
图32是表示压力控制电路的一个实施例的框图。
具体实施方式
首先参见图1,其中示出了本发明的一个优选实施例所涉及的液体流动控制器的框图。所示的诸如气动流体控制阀的流体控制装置10具有用于将液体最终分配到使用点(诸如可是晶片(未示出)的衬底)的液体输入管线12和液体输出管线13。液体输出管线13与摩擦流动元件15流通,以使离开流体控制阀10的所有液体进入摩擦流动元件15。可与流体控制阀10外壳成一体的诸如压力传感器的第一压力传感器24位于摩擦流动元件15的入口处或者附近(诸如在流体控制阀10的出口处或者附近)以检测第一压力,诸如压力传感器的第二压力传感器25位于摩擦流动元件15的出口处或者附近以检测第二压力。或者,可使用一个差动压力检测装置。压力传感器中接触流体的部分最好由惰性材料(相对于在本申请中用于的流体)制成,诸如蓝宝石,或者涂有一种与其接触的流体相容的材料,诸如全氟聚合物。图7中示出了适合的压力传感器的细节。这样,传感器外壳60具有流体入口61和与入口61分隔的流体出口62。压力和温度传感器64在外壳60中由全氟弹性体O形圈63密封。如图所示,诸如利用多个不锈钢螺栓或者销使得端盖65与外壳60接合。传感器64检测在入口61和出口62之间的流通路径中的压力和温度,并且将表示所检测的压力和温度的信号送至控制器。
再次参见图1,诸如螺线管的气动比例控制阀20以气动的方式与流体控制阀10相连。每一个压力传感器24、25(或者一个差动压力检测装置)与计算机处理器或者控制电路30相通,诸如具有比例、积分和微分(PID)反馈部件的控制器。当每一个传感器24、25在其各自的流体管线中对压力和温度采样时,它将采样数据送至控制器30。如下面详细描述的,控制器30比较数值并且计算摩擦流动元件15上的压力降。来自于控制器30的基于压力降的信号被送至气动比例控制阀20,该信号因此调制流体控制阀10,最好在补偿温度和/或粘度和/或密度后。
更具体地说,对于被分配的流体,最好利用一种诸如去离子水或者异丙醇的适合的流体作为流体标准使得该系统被校准。例如,在根据该步骤使得该系统被校准后,最好以试验的方式,使得被分配的流体的特征被自动输入或者确定,诸如粘度和密度,以使被分配的流体可与该步骤比较并且建立一种关系。基于这种关系,在摩擦流动元件上的测量的压力降与流速相关,与所希望的或者目标流速相比,因此利用气动比例控制阀20调制流体控制阀10。
另外,优选的是一种使用者可编程的比例阀的反吸阀21与诸如螺线管的比例控制阀(可与气动比例控制阀20相同或者不同)相通并且被控制器(或者一个不同的控制器)控制。当流体分配停止或者在途中时它被驱动,从而减小或者消除当流体分配操作中断时可能落在晶片上的不希望的小液滴的形成,并且将流体从分配喷嘴抽回以其在大气中的暴露达到最小或者防止其暴露在大气中。因此控制反吸阀打开和关闭的速度和程度。最好,反吸阀21位于流体控制阀10的下游。
通过控制流体控制阀10和/或反吸阀21上的压力,可控制各种流体分配参数。例如,在被分配的液体是一种低粘度的液体的情况下,可利用压力精心地调制流体控制阀10以确保液体的均匀的分配。类似地,液体分配的速度可被控制,也可控制反吸阀21从分配点反吸液体的速度。在所用的特定的流体控制阀10的压力与体积的关系被表征后,利用本发明的系统可达到不受限制的灵活性。实际上,分配压力是分配质量(例如均匀性)的良好指示器,但“理想”的分配压力分布图对于所有的应用是不存在的并且在所有流体控制阀中是不一致的。在得知流体控制阀的特征后,本发明的控制系统允许处理工程师调节分配压力以对于特定的处理应用达到“理想分布图”。
图8A-8E示出了本发明的一个优选实施例所涉及的集成流体控制阀10和传感器组件。该阀基本上是线性的,意味着当在致动隔膜上的压力增大时,流体流动因此增加。另外,该阀具有最小的滞后。最好,压力(和温度)传感器位于流体流中并且外壳60与阀主壳体70成为一体,(从而检测流体压力和温度)就在摩擦流动元件的入口之前。
特别参见图8B、8C、8D和8E,阀顶盖71包括两个同心环84、85,两个同心环84、85之间限定一个环形沟槽以接收合成橡胶O形圈72,合成橡胶O形圈72与气动环74一起将阀气动隔膜73密封在外壳中。相对的带螺纹的阀按钮76夹着阀顶隔膜77和阀底隔膜78,并且受到弹簧80偏压。内部组件与拧到不锈钢螺丝75上的带螺纹的按钮76固定在一起。外部组件与阀底盖82、不锈钢销或者螺栓83以及阀顶盖71固定在一起。带有适合的管等的用于与气动比例控制阀20气动连接的推动部件与阀顶盖71接合。如图27A-D中所示,流体控制阀10中的流动路径(对于进口和出口)不在同一条线上以进一步降低压力降和非扫过容积。进出阀的流动路径偏移使得泥浆或者其他流体容易流动并且具有最小的沉积量。
流体进入阀入口12和在线性通道12A中流动直至其通过其中的输入孔99到达环形腔90。流体趋于在腔90中盘旋,接着在施加气动压力以打开阀后,越过隔膜77和78流入到窄的环形通道92中(图8B、8D),并且进入腔89中。通过线性路径13A朝向出口(通过输出孔85)的盘旋流体流动路径形成在腔89中。为了使得腔89和90之间的压力损失达到最小并且为了利用所产生的压力降使得该装置中的流体的扫掠作用达到最佳,带圆角或者倒角的肩部93(例如,0.04″)可被引入到阀的密封表面。最好,流体输入路径12A和流体输出路径13A分别沿着腔89和90的切向(不是通过中心轴线)设置,以有助于流体均匀流动并且减小压力降和沉积。
通过控制进入直的推动部件86的压力,阀气动隔膜73偏斜的量被控制。气动腔88中的压力越大,气动隔膜73偏斜的量越大,推动顶部阀按钮使得隔膜77和78偏斜,弹簧80压缩,并且使得隔膜78离开部分限定通道92(图8D)的阀座或者肩部93,使得阀打开。特别是,该阀被设计成这样的形式,即,使得弹簧80和气动压力相互对抗。弹簧80推动所有三个隔膜,使得底部隔膜78通过抵靠肩部93而密封主阀体。当气动压力被引入时,它对抗弹簧80。在施加足够的压力后,弹簧不再能够使阀保持关闭。弹簧压缩,使得隔膜在压缩弹簧的方向上偏斜。气动压力越大,弹簧80压缩的量越大,并且阀打开的程度越大。
除了反吸选择,流体控制阀关闭的速度也可有效地控制在喷嘴的分配端或者输出端口处的液体高度,并且在许多情况下将能够完全取代反吸阀选择。由于该阀设计的两个流体隔膜,因此这是可能的。当该阀关闭时,气动腔中的压力减轻并且弹簧载荷接收并且迫使阀底部流体隔膜78(图8D)在阀座设置位置处接合。当流体隔膜78接合时,流体隔膜77朝向气动腔向外弯曲。该位移可产生小的反吸效应。
该控制器可包括大大减小阀与阀的响应时间之间的差异的空闲特征。根据给定的阀的打开压力要求,空闲压力可被调节以产生相同的单元之间的响应时间。空闲压力是当阀没有被驱动以产生流动时被提供到气动腔的压力。这样,如果特定的阀的打开需要40-psi气动压力,而另一个需要30-psi气动压力,那么空闲压力可被分别设定为15-psi和5-psi。因此,在基本相等的时间内,两个阀的打开需要25-psi的气动压力变化。阀的空闲特征也用作在最小设定需要下该系统的氮吹扫。该阀可保持打开以使得最小量的吹扫气体,最好氮,从气动比例控制阀排出以在系统外壳内提供安全吹扫,特别是在设置电子器件的地方。
图30是表示可产生用于节流/打开气动比例控制阀20的阀驱动信号的控制器2700的一个实施例的框图。控制器2700可包括电源2702、内务操作处理器2704、压力电路2705、辅助功能电路2706、控制阀驱动器2708、反吸阀驱动器2709、匹配界面2710、I/O电路2711和控制处理器2712。控制处理器2712可包括可存储一组计算机可读指令2716的闪存存储器2714,计算机可读指令2716可执行以基于从结合图6所述的压力电路接收的压力信号产生阀控制信号。控制器2700的各个部件可通过数据总线2718连通。应该注意的是,尽管所示的计算机可读指令2716为在一个处理器处的软件,但计算机可读指令可以软件、固件、硬件的形式或者本领域已知的任何适合编程方式实现。另外,指令可被分配在多个存储器中并且可由多个处理器执行。
在操作中,电源2702可为控制器2700的各个部件供电。压力电路2705可从上游和下游压力传感器读取压力并且将上游和下游压力信号提供给控制处理器2712。控制器处理器2712可基于从压力电路2705接收的压力信号计算阀控制信号,并且控制阀驱动器2708又可基于该阀控制信号产生阀驱动信号。可按照下面参照图6描述的方法产生阀控制信号。该方法可以软件或者存储在由控制处理器2712存取的计算机可读存储器(例如,RAM、ROM、FLASH、磁存储器或者本领域已知的其他计算机可读存储器)上的其他计算机可读指令形式实现。
关于控制器2700的其他部件,内务操作处理器2704可是执行包括与其他装置直接连通或者本领域已知的其他任何可编程功能的多种功能的通用处理器。通用处理器的一个示例是Intel 8051处理器。辅助功能电路2706可与其他装置连接。反吸阀驱动器2709可控制反吸阀(例如,图1的反吸阀21)。匹配界面2710和I/O电路2711可提供能够使得数据与控制器2700之间连通的各种装置。其他部件可包括可执行本领域已知的装置监测功能的监控单元2720、各种电可擦可编程只读存储器或者其他存储器、扩展端口或者本领域已知的其他计算机部件。
图31是表示可产生用于节流/打开气动比例控制阀20的阀驱动信号的控制器2700的控制逻辑电路的一个实施例的框图。所示的控制器2700的几个部件包括控制处理器2712、匹配界面2710和监控单元2720。另外,示出了扩展端口2802。扩展端口2802可用于增加子插件以扩展控制器2700的功能。
在图31的实施例中,内务操作处理器2704的功能被分成三个部分:处理部分2806、存储器装置部分2808和双端口RAM部分2810。存储器装置部分2808可包括各种存储器,包括闪存存储器、RAM、EE和本领域已知的其他计算机可读存储器。为内务操作处理器2704提供闪存存储器的一个优点是它允许通过例如匹配界面2710容易进行固件更新下载。另外,存储器装置部分2808可包括芯片选择和地址解码的功能。应该注意的是,存储器装置部分2808、双端口RAM部分2810和处理部分2806中的每一个可体现在一个处理器中。
控制处理器2712可包括闪存存储器2714,闪存存储器2714可存储一组计算机可执行指令2716,计算机可执行指令2716可被执行以基于从结合图6所述的压力电路接收的压力信号产生阀控制信号。在本发明的一个实施例中,控制处理器2712和内务操作处理器的处理部分2808可通过与双端口RAM部分2810的相互存取共享数据。控制处理器2712和内务操作处理器的处理部分2808可由一个系统时钟2812(例如,20MHz的时钟)或者不同的时钟驱动。
图32示出了压力控制电路2705的一个实施例。压力控制电路2705可包括分别来自于上游和下游压力传感器的上游压力输入2902和下游压力输入2904。输入上游和下游信号在被A/D转换器2905和2906转换成数字信号之前可被放大和滤波。如图32中所示,压力控制电路2705还可产生压差信号,压差信号可被A/D转换器2908转换成数字信号。压力控制电路可被校准电路2910校准,校准电路2910可包括硬件和/或软件以基于被施加在压力传感器上的已知压力补偿传感器读数的变化。
另外,压力控制电路2705可用于接收上游和下游输入温度信号(例如,在输入2920和2922处),上游和下游输入温度信号可被A/D转换器放大和变为数字信号。压力传感器24、25(或者压差传感器)中的一个或者两个分别可包括用于在它们各自的位置处(例如,在摩擦流动元件入口或者出口处或者附近,视情况而定)检测流体温度的温度检测装置,温度检测装置可将输入温度信号提供给输入2920和2922。或者,温度传感器可与压力传感器分离。检测的温度与控制器连通,在控制器中,计算适合的流体流动修正被计算并且基于该计算将一个信号送至气动比例阀20以修正温度变化。该操作是优选的,这是因为压力传感器自身可产生热量,热量可被流体吸收的并且可影响该系统中的流体流动特性;在传感器表面处的局部温度变化可改变传感器的输出。本发明的其他实施例可基于例如恒定电流装置(诸如压力传感器本身)上的电压降修正温度误差。
图4示出了压力控制电路2705的另一个实施例。如在图4中示意性示出的,压力传感器24、25最好使用两个仪器用放大器:一个用于上游压力,另一个用于下游压力。数字增益和偏移控制用于自动或者人工校准每一个传感器。这些两个模拟压力信号可被模拟数字转换器转换并且仅通过减去这些数值在软件中得到压差。该技术的一个缺陷是分解度和共模受到影响。模拟数字转换器必须转换每一个信号并且利用数学方法去除共模。模拟数字转换器的分解度增大是优选的以获得所需的压差。例如,如果下游压力是15psi并且该流速的压差为0.1psi,上游压力将为15.1psi。如果满刻度压力为30psi,该压力转换为5.00Vdc(15psi=2.50Vdc),每一个转换器必须能够测量峰值压力(30psi)。由于15.1psi为2.517Vdc,压差信号为0.017Vdc(超出5.00Vdc)。通过增加与每一个模拟数字转换器电连通的第三放大器,共模被去除并且模拟数字转换器仅必须分解最大压差,最大压差远小于共模。这样,在上述示例中的最大压差等于转换成5.00Vdc的5psi。这使得分解度增加6倍。
压差放大器的增益也可被增大,这还进一步增大压差信号的分解度。这也可利用一个压差传感器来实现,但在一个实施例中,独立的上游和下游的压力信号没有被确定。
用于上游和下游压力的模拟数字转换器最好也被包括。这些分离的压力接着可被用于监测上游和下游压力和确定处理变化(例如,滤波变化)。它们也可独立用于一个压力控制,这可用于粘度计算。
图6是表示用于流动控制阀10的调制的控制算法的一个实施例的流程图。该算法可由执行被存储在计算机可读存储器(例如,RAM、ROM、磁存储装置或者本领域已知的其他任何计算机可读存储器)上的一组计算机指令的控制器(例如,图1的控制器30)来实现并且可包括从模糊逻辑得到的技术和来自于自适应控制装置的元件。这样,该控制器是基于动态模型的线性控制系统。自适应或者智能控制可用于较大的精度,在需要的情况下。该自适应控制可使用非线性优化程序以提高控制系统的整个操作并且在本领域的已知技术内。图30中示出了控制器的一个实施例。
更具体地说,参见图6,控制器在步骤902可例如从与上游和下游压力传感器相关的模拟数字控制器(例如,A/D转换器2905和2906)读取上游和下游压力信号。在这点上,上游和下游压力信号可是表示由压力传感器产生的模拟电压的电压抽样(即,数字抽样)。在步骤904,控制器还可基于温度传感器读数读取温度或者基于流过传感器的电流计算温度并且利用本领域已知的任何温度修正算法对上游和下游压力信号进行温度修正。在步骤906,控制器可对上游和下游压力信号滤波,并且在步骤908,将压力信号转换成压力值,压力值可被存储在存储器中(步骤909)。
控制器在步骤910和911可计算上游和下游压力的积分和微分值及其任何修正。积分和微分值的计算可根据本领域已知的任何方法来执行。控制器也可计算(步骤912)和存储(步骤914)上游和下游压力的差值。在步骤916,控制器可基于上游和下游压力的积分和微分值计算误差信号,并且在步骤918,存储错误信号的数值。在本发明的一个实施例中,在步骤920,误差增益可被加到误差信号。这可有助于补偿处于低压时的低信号值。
在步骤922,控制器可读取阀增益。阀增益曲线的一个实施例被示出在图5中。该曲线调整被以与当前位置成比例地施加到阀上的信号增益。在软件中执行的增益曲线使得系统对阀与阀的变化进行修正。除了修正特定阀中的变化,该阀增益曲线也可补偿过冲、下冲和响应时间。在图5中示出了用于两个阀的阀增益曲线,阀A(线500)和阀B(线501)。用于每一个阀(或者阀的种类)的曲线可被试验确定并且被存储在控制器的存储器中。该曲线可用于基于当前阀位置调节阀控制信号的增益。
在图5的曲线图中,X轴表示阀位置,Y轴表示增益。在本发明的一个实施例中,利用(4)点形成每一个曲线:最大增益;最小增益;斜坡起始点和斜坡终点。最大增益通常起始于阀的不工作位置并且延伸到斜坡起始点。最小增益起始于斜坡终点并且终止于阀行程的100%点。实际斜坡是从斜坡起始点和斜坡终点的增益的线性减小。控制器可读取各个阀的阀增益曲线和因此调节阀控制信号。例如,当阀A在线502和504之间时,控制器可在步骤922读取阀曲线,并且调节控制信号的数值以说明高增益。当阀A位于线502和504之间以克服使得阀保持关闭的作用力时,该曲线使得阀信号的增益保持较高。在阀实际上打开的点处,控制器可调节控制信号以说明基于阀增益曲线和阀位置的减小的增益。控制器可调节控制阀信号以说明在沿着阀增益曲线的任何点处的阀增益。应该注意的是,图5中所示的阀增益曲线仅是利用示例提供的,并且控制器可基于存储在由控制器存取的任何计算机可读存储器中的任何阀增益曲线调节阀控制信号。
在步骤924,可基于误差信号和压力值形成控制信号,并且所述控制信号被写到数字模拟转换器(例如,控制阀驱动器)。数字模拟转换器可产生模拟阀驱动信号以驱动阀。本发明的实施例也可包括用于减慢阀控制信号的阀集成步骤(例如,步骤926)和自适应调节步骤(例如,步骤928)。自适应调节步骤可读取预定的多个可用于调节当前阀控制信号的被存储的在前位置值。
另外,控制器还可执行监测步骤930,监测步骤930可是自适应调节的一部分。该功能实时地编辑数据,诸如点过冲、下冲、调整时间、回路稳定性和百分误差。在设置模式过程中,被编辑的数据由控制器分析并且控制器调节控制阀以使得性能达到最佳(即,执行自适应调节步骤928)。
应该注意的是,控制器也可调节阀控制信号以补偿粘度变化。由于流体的粘度改变用于类似流速的ΔP测量,因此必须进行修正。一种修正方法是将当前的ΔP和流速与诸如异丙醇或者水的标准的ΔP和流速进行比较。接着使用者可输入差值。另一种方法是测量内部参数并且将它们与预定的类似参数比较并且在内部补偿差值。第三方法使用关于不同流体的工厂产生曲线;多个曲线可被存储在控制器中并且由使用者选择。
各个控制参数可被设定以在多种应用中确保反吸阀的适合操作。例如,反吸阀“停止时间”调节ON到OFF压力过渡的时间。这是使得阀隔膜从其全延伸位置移动到反吸位置的时间。使其移动得过快可导致流体柱将气泡拉到柱或者空穴中。反吸阀“工作时间”调节OFF到ON压力过渡的时间。这是使得阀隔膜的端部从反吸位置移动到其全延伸位置的时间。使其移动得过快可导致流体柱“膨胀”,这可有害地改变实际分配速度。具有其他两个设定:反吸On和Off压力设定。这两个调节确定用于产生所需的反吸量的阀将移动的距离。在该压力中的差异越大将增加反吸量。使用On压力和Off压力具有两个原因:适应类似类型的不同阀中的差异;以及调节不同阀和其他系统结构中的非线性。这整个作用也可被延迟以将控制阀的停止作用和反吸作用分离。在一些应用中,如图29中所示,反吸阀也可用于在具有在流体停止作用中被驱动的分离反吸位置的流体的停止作用中帮助控制阀。该帮助功能也可被编程为停止作用的百分比,下载作为停止开始或者停止结束的一部分。正常反吸位置接着用于如果被编程在延迟后的反吸。现参见图2,其中示出了装有本发明的各个部件的外壳100。最好,电子部件,诸如LED板105和主印刷电路板106,以及气动比例阀歧管110与流体隔离,诸如摩擦流动元件15和流体控制阀10。流体在流体入口(未示出)进入主流体控制阀10。接着,流体被引导通过阀并且进入摩擦流动元件15,在所示的实施例中,摩擦流动元件15包括较短的直部15B,接着直部15B被螺旋卷绕并且终止于另一个较长的直部15A,在直部15A处进行第二压力(和温度)检测。摩擦流动元件15可是管或者导管或者一束平行中空纤维管,例如具有足以在流体流过其时能够产生可测量的压力降的尺寸。其他适合的摩擦流动元件包括诸如在聚合物材料、多孔薄膜、玻璃料和过滤器的块中的盘旋沟道。最好,摩擦流动元件避免90度的圈,这是因为90度的圈可能会促进堵塞或者可导致剪切的过大的湍流。尽管摩擦流动元件15可是直的,但最好摩擦流动元件15是螺旋管以节省空间,其直径和长度部分取决于流率。这样,摩擦流动元件15的直径和长度是所需压力降的一个函数以使“噪声”变得可以忽略。对于给定的流体、管的长度和系统状态,管的直径越小,压力降越大。对于给定的管的几何条件,当流体的粘度增大时压力降将增大。例如,在分配去离子水的情况下,对于流体控制阀10的尺寸,摩擦流动元件15为外径为1/4英寸的管,壁厚为0.047″,长度为40″,可形成每分钟近2升的最大流率,还取决于系统状态,例如,供给流体压力、供给气动压力和该装置外部的系统压力降。对于给定的分配/流动条件,仅通过改变摩擦流动元件15的几何尺寸可使得流动范围达到最佳。最好,摩擦流动元件的内径与在元件15下游的管或者其他流动路径的内径相同或者基本相同以使得流出元件15的流体的过渡达到最小或者被消除。通过摩擦流动元件15的流体流可是层流或者湍流。因此流体路径如下:流体进入流体控制阀10的流体入口,流经阀(并经过压力和温度传感器)并且进入摩擦流动元件15的入口,流经摩擦流动元件15并且从摩擦流动元件15的出口流出(并经过位于摩擦流动元件15的出口的上游和下游的压力和温度传感器)。在本发明的一个实施例中,本设计的灵活性使得摩擦流动元件15容易互换,例如基于流动和/或流体特性。
用于产生压力降的其他类型的装置可形成不合需要的副作用并且更适合工业处理应用。这些负作用包括不受控制和过大的输入和输出压力损失、局部的逆流或者涡流的区域和截留区域。这些可选择的压力降元件包括文氏管、流动喷嘴、孔系(薄板正方形边缘、四分之一圆周边缘、偏心和部分)、离心和线性电阻。
下面的示例说明一组摩擦流动元件的技术指标:
内径为0.0625″的螺旋管,长20英寸,具有2.5圈,通常水在室温下的流率为0.5cc/sec和5cc/sec之间。
内径为0.156″的螺旋管,长40英寸,具有5.5圈,通常水在室温下的流率为1cc/sec和30cc/sec之间。
内径为0.250″的螺旋管,长20英寸,具有2.5圈,通常水在室温下的流率为0.5lpm和5lpm之间。
内径为0.375″的螺旋管,长20英寸,具有2.5圈,通常水在室温下的流率为2lpm和10lpm之间。
在某些应用中,进入流体入口12(图1和图8C)的流体压力可能太低或者太高。为了调节流体压力,图3中所示的辅助输入模块200可用在分配模块的上游。辅助输入模块200具有主体或者存储容器90和固定在模块基座92和盖93之间的四个常闭(由于弹簧97的偏压)提升阀91。如图中所示,四个流体配件94和94A被拧在基座92上。一个配件是压力端口,另一个是真空端口、另一个(94A)是流体入口,另一个是排气孔。四个推动配件95被固定在盖93上并且提供氮以驱动提升阀91,提升阀91又将打开流到打开94和94A。如图中所示,流体出口98被设置在主体90的底部上。利用托架101将水平传感器96固定在主体90上并且水平传感器96检测模块主体或者存储容器90中的流体水平。过滤器(未示出)可被设置在主体90中。
为了使得模块200充有加压流体源,输入和排气阀可被基本上同时打开,并且加压流体在一定时间内流入模块200中或者直至达到某一流体水平,如由水平传感器96检测的。排气阀可用于在输入阀使得流体进入存储容器90中时使得压力均衡。输入和排气阀接着关闭,流体供给压力阀打开,并且当需要使得流体流到系统时流体控制阀10打开。
如果对于适合的操作,来自于源的流体压力太低,那么在充填循环后可施加压力以提升供给压力。这可被连续施加或者仅当需要时施加。类似地,当流体供给压力的波动发生时在需要的情况下可施加压力。
如果非加压源被使用,输入和真空阀可被基本上同时打开。真空阀用于将流体从流体源中抽入。
模块200也可用作消除泡沫的装置。特别是,对于加压流体源,该循环的充填部分如上述的。在存储容器90被充填到所需水平后,入口和排气口被关闭并且在使用者可编程的时间内或者所需的时间内真空被施加在流体上,从而从中消除气泡。
在另一个实施例中,例如在空间减小是高的优选的情况下,可使用在图12-23中所示的阀。与图8的阀类似,图12的阀顶盖71′包括两个同心环84′、85′,两个同心环84′、85′之间限定一个环形沟槽以接收合成橡胶O形圈72′,合成橡胶O形圈72′与顶部气动环74′一起将阀气动隔膜73′密封在外壳中。相对的带螺纹的阀按钮76′夹着阀顶隔膜77′和阀底隔膜78′,并且受到弹簧80′偏压。内部组件与拧到不锈钢螺丝、螺栓或者销75′上的带螺纹的按钮固定在一起。外部组件与阀底盖82′、不锈钢销或者螺栓83′以及阀顶盖71′固定在一起。带有适合的管等的用于与气动比例控制阀20气动连接的推动部件与阀顶盖71′接合。如图27D中所示,流体控制阀10中的流动路径(对于进口和出口)不在同一条线上以进一步降低压力降和非扫过容积。进出阀的流动路径偏移使得泥浆或者其他流体容易流动并且具有最小的沉积量。
阀外壳70′最好为模制设计,并且传感器外壳与阀集成在一起。与图8的传感器外壳60不同,集成实施例仅需要一个传感器端盖65′,大大减小所包括的部件数量,并且消除可能导致灾难性故障的机加工毛边。
在图12-14中所示的阀外壳的实施例中,流体进入阀入口12′和在线性通道12A′中流动直至其通过其中的输入孔99′到达环形腔90′。流体趋于在腔90′中盘旋,接着在打开阀后,越过两个流体隔膜流入到窄的环形通道中,并且进入第二腔中,这与前面参照图8B和8D所示的在前实施例的情况类似。通过线性路径13A′朝向出口(未示出)的盘旋流体流动路径形成在第二腔89′中。如前面所述,为了使得腔89′和90′之间的压力损失达到最小并且为了利用所产生的压力降使得该装置中的流体的扫掠作用达到最佳,带圆角或者倒角的肩部(例如,0.04英寸)可被引入到阀的密封表面。最好,流体输入路径12A′和流体输出路径13A′分别沿着腔89′和90′的切向(不是通过中心轴线)设置,以有助于流体均匀流动并且减小压力降和沉积。如图中所示,入口12′和出口13′可具有外螺纹,例如以便于与适合的软管相连。
第一传感器外壳60′位于第一和第二腔90′和89′的下游的流动路径13A′。传感器外壳60′与第二腔89′和出口13′流通。压力和/或温度传感器64′被密封在外壳60′中,诸如利用全氟代弹性体(KALREZ)O形圈63′。端盖65′与外壳60′接合,诸如利用所示的多个螺栓或者销66′。传感器64′检测在传感器外壳60′的入口和出口之间的流体路径中的压力和/或温度,并且将表示检测值的信号送至控制器。
阀的该实施例还包括第二传感器外壳160′,第二传感器外壳160′的构造最好与传感器外壳60′相同。如图13中所示,第二传感器外壳60′与入口112′和与入口112′间隔开的出口113′流通。
因此,该实施例的阀作用如下,特别参见图14A和14B。流入阀的流体进入入口12′并且流经通道12A′流到第一阀腔90′,流体被装在第一阀腔90′中直至阀打开。在阀打开后,流体从第一阀腔90′通过一个孔流到第二阀腔89′。流体从第二阀腔89′通过出口离开并且进入第一传感器外壳60′,流体的压力和/或温度在第一传感器外壳60′中被检测并且被记录和/或送至控制器。流体通过出口13′离开阀组件并且通过摩擦流动元件,最好为盘管(未示出),并且如图14B中所示,接着再次通过入口112′进入阀组件。流体流到第二传感器外壳160′,在第二传感器外壳160′中压力和/或温度再次被检测并且被记录和/或送至控制器。在离开第二传感器外壳160′后,流体通过精心设置以使得空间利用率达到最佳的流动路径流过阀组件,并且返回它初始进入的装置的同一面。
通过设计该阀组件以便在一侧上具有气动特征并且在另一侧具有阀机械特征,多个阀组件可被叠置在一个单元中,进一步降低所占空间和成本。这样,如图13中所示,传感器外壳60′和160′具有相同的尺寸和构造,并且传感器外壳60′与出口113′的间距与传感器外壳160′和入口112′的间距相同。因此,如果这样两个阀体垂直叠置,那么阀腔将垂直对准,并且传感器外壳将垂直对准。这样一种可叠置的阀组件的一个示例被示出在图15中,其中中间盖171′被设计以具有顶盖71′和底盖82′的内部细节以接受阀的气动侧部件和机械侧部件。可叠置的阀的实施例特别用于多个存在空间限制的分配点。附加的优点包括部件复制的消除,如仅需要一个比例歧管,仅需要一个LED和主PC板,仅需要一个壳体和缆线组,以及仅需要一组氮供给线和配件。应用包括化学混合(比例控制)、独立流体的同步分配、对于两个分配点的独立分配、积分独立或者从属控制,以及连续不中断分配。
该阀组件的常规设计能够保持基本上的通用性。例如,图16示出了具有阀和一个传感器外壳的组件。该阀的各个部件的尺寸设定最好与图13的阀一致以如果需要的话保持可叠置的性能,并且如果需要的话可增加附加的传感器外壳,诸如在图18中所示的。实际上,通过提供图18的可移除的传感器外壳插件作为独立部件,具有一个或者多个传感器外壳的装置可被构成,其中传感器外壳被倒置(相对于阀腔)以有助于促进气泡去除。
各个部件可被制成为模制插件。例如,图17示出了没有传感器外壳的阀。图19示出了双传感器外壳插件。传感器外壳的入口112′、212′和出口13′、113′形成有外螺纹以便于连接阀。用于阀组件的传感器外壳部分的插件能够提供许多不同的组件结构,并且根据当其被安装的取向能够与使得传感器外壳倒置的插件更换以有助于促进气泡去除,如上所述。类似地,气动和机械部件的互换性使得模制阀的入口和出口对于上游或者下游压力控制是颠倒的。通过将气动和机械部件安装在阀腔的相对两端,该系统的压差可反向作用并且阀上游的压差可被记录,而不是下游的压差。这使得使用者监测系统单元的供给压力而不是比较靠近分配点的下游压力。图23A和23B中分别示出了下游构造和上游构造。这些图说明模制阀设计的通用性在于,气动部件和机械部件可根据需要阀上游或者下游的压力降而被安装在阀的任何一侧。传感器的位置还提供了消费者的系统状况的监测,不仅是该装置的状况的监测。
图24A和24B示出了用于流动控制器的模制部件,所述模制部件能够通过位于该装置的底部上的三个端口(排气口、入口和出口)与Mykrolis LHVD型过滤器配合。该设计能够接受LHVD型过滤装置。
常规的气泡传感器可用于本发明中。气泡传感器将调制信号送至控制器,控制器将其转变为空气百分比。如果该百分比超过预定水平,使用者可被通知。适合的气泡传感器可是光感的或者电容的,并且具有二进制输出(通与断),从而通和断的数量可被计数并且随着时间的推移被转变为流体气穴中的空气百分比。
图25A和25B示出了没有气泡收集区域的阀设计。流体通过阀的入口进入接着与上升到顶部的所有气泡一起直流。流动路径的其余部分是类型直径路径,并且所有传感器空穴的细节都在该路径的下方以使空气不能被收集(常规阀具有产生气体不能逸出的空穴的特征)。与前面的实施例相比,该设计还取消了三个部件,包括流体隔膜、阀盖和按钮,以降低装配成本、部件成本和复杂性。两个重要的流体密封位置也被取消,包括隔膜舌和沟槽密封之一以及两个流体隔膜之间的干涉配合。
该阀通过在流体隔膜401和气动隔膜402之间施加气动压力起作用以克服偏压关闭的阀的预加载的压缩弹簧驱动阀打开。由于气动隔膜402大于流体隔膜401并且利用螺钉403和按钮404使得两个隔膜相互约束,压力将在气动隔膜402上产生较大的载荷并且强迫阀打开。通过倒刺配件405(图25B)和管道(例如,聚乙烯)406提供压力。利用O形圈407和所示的气动隔膜舌和沟槽密封气动压力腔410。利用O形圈412密封传感器411和利用传感器盖413固定传感器411。
在另一个实施例中,为了减小90度回转,该设计可如图26所示被变型,其中传感器腔被移动到阀的一侧。偏移的流动路径取消回转,并且取消未扫过区域。由于在气动侧没有O形圈,该阀具有低滞后,并且相互优化隔膜的设计和尺寸设定。由于提升阀设计,也可达到极好的线性;在其工作过程中流体流率基本上与施加在阀上的压力成正比。
图28A和28B示出了流体控制阀的另一个实施例,其中O形圈使得流体隔膜与气动隔膜分离。这防止在两个隔膜上过大加载,两个隔膜上过大加载将导致较低的阀寿命期待。在没有空气被收集的高点的情况下保持流体控制阀的流动路径(在该传感器密封在流体控制阀体的侧面上的情况下可收集的微量除外)。流体控制阀的流动路径被设计有一个流体隔膜并且在阀内没有高点(不包括压力传感器密封方法)在空气可正常被收集的流体流动路径中不是直接的。在流体控制阀关闭并且空气能够减压后,被收集在流体控制阀内的空气可对分配结束有害。收集在流体控制阀中的空气也会对分配的开始产生不良影响。收集在流体控制阀中的空气也可有助于附加的空气被溶解在流体中或者可在晶片上产生缺陷的微气泡形成。
该阀通过在气动隔膜402′和气动密封O形圈415′之间在气动腔410′上施加气动压力起作用,以克服偏压关闭的阀的预加载的压缩弹簧800′驱动阀打开。施加在气动隔膜402′的表面区域上的压力使其偏斜,还迫使流体隔膜401′偏斜并且当利用螺钉403′和按钮404′被限制在气动隔膜402′上时打开。气动密封O形圈415′通过不使任何气动压力到达流体隔膜来防止气动压力在两个隔膜上产生过大的载荷。压力通过倒刺配件405′和适合的管道被提供。传感器腔500可位于阀密封的上方。该设计防止空气被收集在流体腔、入口通道、出口通道和传感器腔的通道以及传感器腔(如果存在的话)中。流体输入通道与阀流体腔90′的内径相切,这是由于切向流动防止未扫过区域。所有高点在流体路径内,或者不高于流体路径。不提供尖的流动路径的角部。流体流动平缓。
其各种设计和灵活性提供具有阀的传感器的多种组合的模块式组件并且提供各种构造,能够使阀、检测装置、流量计、流动控制器、压力控制器和温度控制器形成。这样,图20示出了包括第一阀300、第二阀300′和与摩擦流动元件15流通的第一和第二传感器310、310′的堆叠的流动控制器和开/关阀组件。图21示出了四个检测装置310、310′、320和320′的堆叠组件。图22示出了一种流动控制器和流量计组件。
图24A、24B示出了用于流动控制器的模制阀设计的另一个实施例,所述模制阀能够通过位于该装置的底部上的三个端口与LHVD(低容纳量)型过滤器配合。端口610是过滤器的排气口,端口612是过滤器的入口,以及端口614是过滤器的出口。
根据本发明的另一个实施例,可使用一种阀辅助功能。在使用螺线管的常规阀中,可使用针阀改变施加在每一个阀上的压力变化,通常缓慢消散压力。通过在该程序的停止部分中减小施加在阀的反吸部分上的变化速度,反吸阀有助于截止阀。根据本发明,如图29中所示,在控制阀(截止阀)关闭时间中,如图中所示,反吸阀压力可被减小以帮助控制阀。在控制阀关闭时间结束后,在反吸阀的压力保持恒定的地方提供反吸延迟。在该预定延迟后,施加在反吸阀上的压力再次减小直至反吸阀压力达到预定水平,使得反吸阀返回其正常或者静止位置。该程序有助于防止液滴从喷嘴掉出。在另一个实施例中,反吸辅助作用可被延迟以在控制阀作用后的一段时间启动,或者可被缩短以使反吸阀的作用仅发生在控制阀的停止作用的开始阶段。尽管上述内容用于致动方法,但它落入使用任何阀致动方法的本发明的范围内,诸如马达。
示例1
该系统被设置以使已知的压差和固定的分配时间可被输入到控制器,并且随后分配可从膝上型计算机被触发。形成的输出的去离子水流被收集在容器中并且利用精密秤称重以确定其质量。利用与流体材料的已知密度结合的每一个分配质量,每一次分配的体积被计算。被分配的体积与已知分配时间结合导致流动速度的确定。五个不同的粘性被检查,范围在0.92到9.5厘泊之间。图10中以图表的形式示出了所述结果。
示例2
对于滞后测试三种不同的阀,包括两种市售的阀,以及图8A-8D中所示的本发明的阀。阀的致动压力上下变化并且测试系统内的压力在阀致动压力范围内上下逐步测量并且绘制为电压。特别是,测试机构是阀的关闭系统和下游压力传感器,阀在恒定压力下,当阀通过其关闭范围移动到完全打开并且再次回到关闭时,压力传感器监测阀下游的压力变化。
结果以曲线图的形式示出在图9A、9B和9C中。在每一组中,距离右边最远的曲线表示压力从低变到高的数据,靠左的曲线是压力从高变到低的结果。曲线之间的差表示滞后量。这样,当在致动压力的步骤中压力上下变化时,如果没有滞后,两个曲线将精确地叠加。图9C示出了本发明具有远小于市售阀的滞后。
示例3
该示例说明了测量和控制液体流以能够输送不连续的用于化学机械平面化衬底处理的流体量的本发明的一个实施例。特别是,该示例证明本发明的一个实施例如何可用于测量和控制液体流以能够将不连续的抛光流体量输送到衬底。
化学机械抛光用于光学透镜的制造。化学机械平面化用于半导体装置的制造。抛光流体可是酸性的或者碱性的并且可包含诸如硅石或者氧化铝的磨料。用于抛光二氧化硅的流体包括在氢氧化钾水溶液中的硅石浆;用于抛光铜金属的流体包括诸如过氧化氢的氧化剂、诸如苯并三唑的抑制剂和诸如醋酸的有机酸的水溶液。
本发明的实施例的入口与装有抛光流体的加压或者重力供给容器相连。流动装置出口与抛光工具上的孔相连。抛光工具具有利用转动垫或者带抛光的衬底。衬底与抛光垫接触,抛光垫随同抛光流体的化学作用一起从衬底上去除材料。抛光流体通过喷嘴被输送到工具上的衬底;利用流动装置及其电子器件控制抛光流体流到喷嘴。流动装置的电子器件可与工具控制器相连以能够使工具控制抛光流体分配到衬底上的时限。该工具也可包含抛光终点检测器,抛光终点检测器也可用于控制抛光流体输送到衬底上的时限。流动装置的电子器件中的信号处理器消除了抛光流体体积的变化和输送速度,这是由于装有抛光流体的加压容器中的压力变化而造成的。与蠕动泵相比,抛光流体以恒定的速度输送。结果是,抛光液体到衬底的可控制量和输送速度,从而使得化学浪费达到最小并且导致更均匀的和可重复的衬底抛光。
示例4
该示例说明了本发明的一个实施例用于测量和控制流体流以使不连续的液体前体量可被输送到用于形成气体的蒸发器中。特别是,该示例证明本发明的一个实施例如何可用于测量和控制液体前体流到蒸发器。
液体前体是在用于形成气体的蒸发器中被加热的化学物质。气体接着被输送到反应室中的加热衬底上,气体在反应室中进一步分解并且在衬底上反应。该气体可用于形成在衬底上的金属薄膜、半导体或者电介质(化学气相沉积或者原子层化学气相沉积方法),它可用于对衬底表面的蚀刻,或者它可用于干燥衬底。液体前体可是诸如水、2-丙醇或者四乙基原硅酸酯、TEOS的纯液体。液体前体也可包含溶解在诸如四氢呋喃的溶剂中的固体,诸如锶-二叔戊酰甲烷,Sr(DPM)2。一些液体前体,诸如铜(I)(hexafluoropentanedionatevinyltrimethylsilane),(VTMS)Cu(hfac),是热敏的并且可被用于一些液体流量计中的热传感器分解。液体前体通常以每分钟0.1克至每分钟50克的速度被输送到蒸发器。薄膜在诸如透镜和光纤的光学装置的涂层中是重要的。薄膜和薄膜蚀刻对与平板、微处理器和存储器的制造也是重要的。
本发明的一种流动装置的一个实施例在其入口处与液体前体的加压源相连。流动装置的出口与蒸发器相连。用于流动装置的阀可在蒸发器的上游或者下游。蒸发器的出口与工具的处理室相连,工具的处理室装有将被蒸气处理的衬底。对于需要多种前体的处理,可使用多个流动装置。流动装置的电子器件可与工具的控制器相连。这使得工具远程控制从加压源通过流量计并且进入被加热的蒸发器的液体流。用于化学气相沉积方法的蒸发器的示例包括加热的金属玻璃料、加热的金属网、加热的阀和加热的管道。
在装有液体前体的容器中的压力变化可导致流到蒸发器的液体流的变化。液体前体在热流动元件中的热分解可导致流到蒸发器的不精确液体流。对于蒸发器的不良流动控制可导致液体的不完全汽化,这是由于蒸发器饱和导致的。不完全汽化将导致液体液滴进入处理腔并且在衬底上形成缺陷。实施本发明的实施例的结果是取消热流动元件控制前体流并且形成流到蒸发器的可重复的并且控制的液体流并且不受上游压力波动的影响。
示例5
该示例说明了本发明的一个实施例用于测量和控制流体流以使流体输送到用于化学镀的衬底。特别是,该示例证明本发明的一个实施例如何可用于测量和控制流体流以将一系列化学物质分配到衬底上从而在镀敷过程中形成金属薄膜。这样一种工艺消除了池镀工艺常用的化学物质的抽出。
用于镀敷的金属或者金属合金溶液包括,但不限于,银、铜、铂、钯、金和锡。通常需要催化剂使得衬底相对于镀敷溶液的活化。这些催化剂包括胶质钯、石墨、锡-钯胶和诸如聚吡咯的导电聚合物。在这些催化剂和镀敷溶液中的贵金属是昂贵的并且在镀敷过程中需要使浪费达到最小以使得镀敷方法成本有效。在这些溶液中的金属是有毒的并且在镀敷过程中需要使浪费达到最小以减小环境排放以及废料处理和处理费用。
对于用于镀敷方法中的每一种化学物质,本发明的一个实施例在入口处与加压的、泵供给或者重力供给的化学物质源相连。本发明的实施例的出口在其出口处与用于将每一种化学物质输送到衬底的喷嘴相连。在输送到衬底之前利用热交换器、冷却器或者电阻加热器元件使得溶液的温度降低或者升高。例如,可利用第一流动装置使得衬底与包含胶质钯的活化剂溶液接触、利用第二流动装置用水清洗衬底、利用第三流动装置使得被催化的衬底与盐酸活化溶液接触、利用第四流动装置使得衬底与一定量的包含二价铜离子源、诸如甲醛的还原剂、诸如EDTA的络合剂和诸如氢氧化钾的碱的铜溶液接触,利用一种化学镀的方法使得铜金属被沉积在衬底上。利用来自于第二流动装置的水清洗衬底。
流动装置的电子器件可与镀敷工具的控制器相连以调节液体流过每一个流动装置的时限、持续时间和顺序。结果是在该方法中对于每一步将每一种化学物质的测量体积快速和精确的输送到衬底。通过仅将足以保证充分反应的化学物质输送到衬底上可使得化学物质的浪费和材料成本达到最小。由于化学物质抽出而导致的衬底的污染被降低。该方法的总输出增加,这是由于流动元件和阀的快速动作以减小循环时间。
示例6
该示例说明了本发明的一个实施例用于测量和控制流体流以使流体输送到衬底以形成保形涂层。特别是,该示例证明本发明的一个实施例如何可用于测量和控制流体流到衬底上以能够利用液体材料精确地涂覆衬底。
利用旋涂方法使得电介质材料、光致抗蚀剂、防反射涂层、聚酰亚胺、诸如hexamethyldisilazane的粘接促进剂、铁电材料和溶胶凝胶通常以液体或者浆液的形式沉积在衬底上。这样的材料被固定的或者可移动的喷嘴输送到静止的或者缓慢转动的衬底。在材料已经被输送到衬底上后,它以100至5000rpm的高速转动以利用液体金属的薄膜均匀地涂覆衬底。许多这样的材料是昂贵的并且使得它们在涂覆过程中的使用量和浪费是重要的。可重复的涂层需要被输送到衬底的材料的恒定体积。
本发明的流动装置的一个实施例的入口与装有涂覆流体的加压或者重力供给容器相连。流动装置出口与在涂覆工具上的喷嘴相连。涂覆工具具有安装在转动卡盘上的衬底。涂覆流体通过喷嘴被输送到衬底上;利用流动装置及其阀控制涂覆流体到喷嘴的流动。流动装置的电子器件可与工具控制器相连以能够使该工具控制涂覆流体流到衬底上的时限和速度。通过与流动装置电连通,涂覆工具可改变作为喷嘴位置和衬底转速的函数的流体流到衬底上的速度以达到所需的涂覆。流动装置的信号处理器消除了由于装有涂覆流体的容器中的压力变化而导致的涂覆流体体积和输送速度的变化。结果是可控制量的涂覆流体被输送到衬底。该结果使得化学浪费达到最小并且使得这样的衬底的涂覆更均匀和可重复的。
示例7
该示例说明了本发明的一个实施例用于测量和控制流体流以使流体输送到衬底以与衬底反应。特别是,该示例证明本发明的一个实施例如何可用于测量和控制反应性的流体流到衬底上。这样的反应性液体的示例包括,但不限于,正或者负光致抗蚀显影剂、光致抗蚀剥离剂、诸如氢氟酸的酸、诸如臭氧去离子水的氧化剂或者诸如过氧乙酸的蚀刻剂。
本发明的流动装置的一个实施例的入口与装有反应性流体的加压或者重力供给容器相连。流动装置出口与工具上的注口或者喷嘴相连。反应性流体通过喷嘴被输送到工具上的衬底;反应性流体流到工具上的喷嘴受到流动装置及其阀的控制。流动装置的电子器件可与工具控制器相连以能够使该工具控制涂覆流体流到衬底上的时限和速度。流动装置的电子器件可通过工具的控制器与反应终点检测器相连,从而当反应终点被接近或者达到时降低反应性流体的流速或者停止流动。蚀刻剂方法的一个示例是利用过氧乙酸从镀敷的晶片边缘去除铜。结果是可控制体积的反应性流体输送到衬底并且利用本发明的一个实施例精确控制方法终点。
示例8
该示例示出了本发明的一个实施例与化学传感器结合使用以测量和控制液体流动和组分。特别是,该示例证明本发明的一个实施例如何可与一个或者多个化学传感器结合以能够控制流体流和流体组分。在需要这样的控制的应用包括,但不限于,镀敷池、RCA清洁池、臭氧水池和氢氟酸池。这样的传感器和本发明的一个实施例的结合的其他应用包括保持化学池的纯度。例如,再循环池中的杂质的堆积,诸如颗粒、有机材料或者金属离子,可需要池被周期性地放出被污染的流体和用等量的未污染的流体代替。或者,该池可被切换到纯化器或者颗粒过滤器以去除杂质同时保持恒定的流速以保护当前的工艺和产品直至污染被去除。
溶解在去离子水中的臭氧用于从各种衬底的表面去除有机材料。臭氧发生器输出气体浓度和水流速的波动导致被溶解的臭氧浓度中的变化。这样的溶解臭氧浓度变化导致利用臭氧水使得衬底表面氧化所需的时间变化并且导致不一致的方法结果和清洁时间。
为了保持在溢流清洁池中的被溶解的臭氧的浓度,本发明的一个实施例在其入口处与去离子水的源相连和在其出口处与气体接触器相连。气体接触器是能够将气体溶解在液体中的质量转换装置。这样的装置的示例和它们操作的说明可从W.L.Gore,Elkton,MD,andMykrolis Corporation,Bedford,MA获得。来自于臭氧发生器的臭氧气体被输送到气体接触器的壳侧,在那里它溶解在流过气体接触器的管的去离子水中。溶解在水中的浓缩臭氧被与气体接触器的流体出口相连的溶解臭氧浓度监测器测量,溶解臭氧浓度监测器可从IN USA,Needham,MA获得。来自于溶解臭氧浓度监测器的输出信号用作进入本发明的流动装置的电子器件中的输入信号。本发明的电子器件将在预设的限度内改变通过气体接触器的水的流速,以使得溶解的臭氧的浓度保持在预定的浓度范围内。例如,如果从臭氧发生器输出的臭氧气体的浓度降低,那么可利用流动装置降低通过气体接触器的水流以保持溶解的臭氧的浓度。
或者,本发明的流动装置的电子器件可通过适合的方式改变臭氧发生器气体流速或者功率水平同时保持通过气体接触器的固定水流速,而与流动装置上游的水压无关。例如,如果溶解臭氧的浓度超过预定阈值同时水流是恒定,发生器的过滤可被降低以使得溶解臭氧的浓度回降至其适合的水平。
结果是利用本发明的实施例控制恒定的组分的化学混合物的制备和输送到衬底。
示例9
该示例说明本发明的一个实施例用于测量和控制流体流动以允许有机液体的低体积流的输送控制。
内径为0.058英寸和14圈的40英寸长的PFA管用作压力降元件。输入流体、2-丙醇的温度大约为23摄氏度并且来自于以每平方英寸20磅加压的源容器。2-丙醇流速由控制器设定点(SO)确定并且阀时限由外部计算机控制。利用本发明的一个实施例输送的2-丙醇的质量在Ohaus Analytical Plus Balance上测量并且利用秤的RS232的端口使得质量被记录为第二计算机上的时间的函数。2-丙醇质量相对于时间的曲线图被示出在图11中。图11中还示出了每一个分配段的最佳拟合线;每一个段的最佳拟合线中的限度是2-丙醇的流速,用每秒克表示。结果显示一个流动系统能够以每秒0.0083克(每分钟0.16克)至每秒0.49克(每分钟9.6克)的流速输送液体。这样一个流动系统能够以适用于化学气相沉积方法的流速控制液体。

Claims (52)

1.一种流体流动控制设备,其包括:
具有流体入口和流体出口的比例流体控制阀;
与所述比例流体控制阀连通的用于调制所述比例流体控制阀的气动比例控制阀;
摩擦流动元件,所述摩擦流动元件具有与所述比例流体控制阀的所述流体出口连通的摩擦流动元件流体入口和与所述摩擦流动元件流体入口间隔的摩擦流动元件流体出口,所述摩擦流动元件在所述摩擦流动元件流体入口和所述摩擦流动元件流体出口之间产生压力降;
用于测量所述压力降的装置;
与所述压力降测量装置连通和所述气动比例控制阀连通的用于响应于所述被测量的压力降以控制经过所述比例流体控制阀的流体流的控制器。
2.如权利要求1所述的流体流动控制设备,其特征在于,所述摩擦流动元件包括螺旋线圈。
3.如权利要求1所述的流体流动控制设备,其特征在于,还包括用于检测所述流体的温度的装置,其特征在于,所述控制器将所述检测温度与预定温度进行比较并且响应于所述比较控制所述气动比例控制阀。
4.如权利要求1所述的流体流动控制设备,其特征在于,所述用于测量所述压力降的装置包括用于在所述比例流体控制阀的所述流体出口处检测所述流体的压力的第一压力传感器和用于在所述摩擦流动元件流体出口处检测流体压力的第二传感器。
5.如权利要求4所述的流体流动控制设备,其特征在于,所述第一传感器被装在与所述比例流体控制阀成为一体的壳体中。
6.如权利要求1所述的流体流动控制设备,其特征在于,还包括与所述气动比例控制阀气动连通的反吸阀。
7.如权利要求1所述的流体流动控制设备,其特征在于,所述气动比例控制阀是螺线管。
8.如权利要求1所述的流体流动控制设备,其特征在于,所述摩擦流动元件流体入口与所述比例流体控制阀的所述流体出口流通以使从所述阀的流体出口流出的所有流体必须进入所述摩擦流动元件流体入口。
9.一种控制流体从分配器分配到使用点的方法,其包括:
提供具有第一流体入口和第一流体出口的比例流体控制阀;
提供与所述第一流体入口连通的摩擦流动元件,所述摩擦流动元件产生压力降;
检测所述摩擦流动元件上的所述压力降;以及
响应于所述检测的压力降调制所述比例流体控制阀。
10.如权利要求9所述的方法,其特征在于,提供气动比例控制阀以气动地调制所述比例流体控制阀。
11.如权利要求10所述的方法,其特征在于,还包括使得气动比例控制阀打开以使得微量的吹扫气体从气动比例控制阀放出。
12.如权利要求10所述的方法,其特征在于,具有多个流体控制阀,并且所述气动比例控制阀在设定水平下保持打开以使得供给到每一个所述流体控制阀的气动压力补偿所述多个流体控制阀之间的差异,使得所述每一个流体控制阀在相同的时间和/或以相同的压力打开。
13.如权利要求10所述的方法,其特征在于,还包括提供响应于所述检测的压力降控制所述气动比例控制阀的控制器。
14.如权利要求9所述的方法,其特征在于,所述摩擦流动元件包括螺旋线圈。
15.如权利要求9所述的方法,其特征在于,还包括用于调节进入所述第一流体入口的所述流体的流体压力的装置。
16.一种比例流体控制阀,其包括:流体入口;与所述流体入口流通的第一环形腔,与所述第一环形腔流通的环形流体通道,与所述环形流体通道流通的第二环形腔;以及与所述第二环形腔流通的流体出口。
17.如权利要求16所述的比例流体控制阀,其特征在于,还包括与气动入口流通的气动腔以及在所述第一环形腔中的至少一个隔膜,从而利用被施加在所述气动腔的气动压力使得所述隔膜偏斜并且打开所述阀。
18.如权利要求17所述的比例流体控制阀,其特征在于,还包括被偏压在所述隔膜上并且使得所述阀保持常闭位置直至所述偏压被所述气动压力克服的弹簧。
19.如权利要求16所述的比例流体控制阀,其特征在于,还包括:与所述流体出口流通的第一传感器外壳,所述第一传感器外壳具有传感器外壳流体出口;与所述传感器外壳流体出口流通的第二传感器外壳。
20.如权利要求19所述的比例流体控制阀,其特征在于,所述第一环形腔、第二环形腔、第一传感器外壳和所述第二传感器外壳是一个整体模制部件。
21.如权利要求19所述的比例流体控制阀,其特征在于,还包括在所述第一传感器外壳和所述第二传感器外壳之间的摩擦流动元件。
22.如权利要求16所述的比例流体控制阀,其特征在于,所述流体入口限定第一水平面,所述流体出口限定第二水平面,所述第一和第二水平面不相交。
23.一种阀,其包括:具有气动腔的阀壳;在所述气动腔中的适于在压力施加在所述气动腔上后偏斜的气动隔膜;第一阀腔;在所述第一阀腔中的第一隔膜;第二阀腔;在所述第二阀腔中第二隔膜;以及偏压所述第二隔膜以防止所述第一阀腔和第二阀腔之间的流通直至所述偏压由所述压力的施加而克服。
24.如权利要求23所述阀,其特征在于,所述第一和第二阀腔是环形的,并且所述第一腔与线性流体输入路径流通,所述第二环形腔与线性流体输出路径流通。
25.如权利要求24所述阀,其特征在于,还包括在所述线性流体输入路径中的压力传感器。
26.如权利要求24所述阀,其特征在于,流体从所述流体输入路径进入所述第一环形腔并且保持在其中直至施加在所述气动隔膜上的气动压力克服所述弹簧的偏压。
27.如权利要求24所述阀,其特征在于,所述第一流体输入路径限定第一水平面,所述流体输出路径限定第二水平面,并且所述第一和第二平面不相交。
28.一种堆叠的阀组件,其包括:第一比例流体控制阀,所述第一比例流体控制阀包括第一流体入口、与所述第一流体入口流通的第一环形腔、与所述第一环形腔流通的第一环形流体通道、与所述第一环形流体通道流通的第二环形腔、与所述第二环形腔流通的第一流体出口、与所述第一流体出口流通的第一传感器外壳、所述第一传感器外壳具有第一传感器外壳流体出口、与所述第一传感器外壳流体出口流通的第二传感器外壳;以及与所述第一比例流体控制阀垂直对准的第二比例流体控制阀,并且所述第二比例流体控制阀包括第二流体入口、与所述第二流体入口流通的第二环形腔、与所述第二环形腔流通的第三环形流体通道、与所述第二环形流体通道流通的第四环形腔、与所述第四环形腔流通的第二流体出口、与所述第二流体出口流通的第三传感器外壳、所述第三传感器外壳具有第三传感器外壳流体出口、与所述第三传感器外壳流体出口流通的第四传感器外壳,其特征在于,所述第一和第二传感器外壳分别与所述第三和第四传感器外壳垂直对准。
29.如权利要求28所述的堆叠的阀组件,其特征在于,还包括在所述第一和第二传感器外壳之间的第一限流元件和在所述第三和第四传感器外壳之间的第二限流元件。
30.一种阀,其包括:具有气动腔的阀壳;在所述气动腔中的适于在压力施加在所述气动腔上后偏斜的气动隔膜;阀腔;在所述阀腔中的阀隔膜,所述阀隔膜被固定在所述气动隔膜上;以及偏压所述气动隔膜和所述阀隔膜以防止流体从所述阀腔中流出直至所述偏压被所述压力施加克服。
31.如权利要求30所述的阀,其特征在于,还包括传感器腔。
32.如权利要求31所述的阀,其特征在于,所述传感器腔位于所述阀隔膜密封的位置处的上方。
33.如权利要求30所述的阀,其特征在于,还包括与所述阀腔相切的流体入口。
34.如权利要求30所述的阀,其特征在于,所述阀腔与流体入口和流体出口流通,所述流体入口限定第一水平面,所述流体出口限定第二水平面,并且所述第一和第二水平面不相交。
35.一种帮助流体阀终止其流体分配的方法,其包括:
提供流体阀;
提供反吸阀;
使得所述流体阀在一段时间后关闭;以及
在所述时间段中启动所述反吸阀。
36.如权利要求35所述的方法,其特征在于,还包括在所述时间段结束后在预定时间内延迟所述反吸阀的再次启动。
37.如权利要求35所述的方法,其特征在于,所述启动所述反吸阀的步骤是在使得所述流体阀关闭的步骤开始执行后经过预定时间后开始执行的。
38.如权利要求35所述的方法,其特征在于,在所述时间段结束前终止所述启动所述反吸阀的步骤。
39.一种流体流动控制设备,其包括:
具有流体入口和流体出口的比例流体控制阀;
与所述比例流体控制阀连通的用于调制所述比例流体控制阀的气动比例控制阀;
摩擦流动元件,所述摩擦流动元件具有与所述比例流体控制阀的所述流体出口连通的摩擦流动元件流体入口和与所述摩擦流动元件流体入口间隔的摩擦流动元件流体出口,所述摩擦流动元件在所述摩擦流动元件流体入口和所述摩擦流动元件流体出口之间产生压力降;
上游压力传感器;
下游压力传感器;
与所述上游压力传感器、所述下游压力传感器和所述气动比例控制阀连通的控制器,所述控制器还包括:
一个或者多个处理器;
计算机可读存储器;以及
被储存在所述计算机可读存储器上并且可由一个或多个处理器执行的一组计算机可读指令,这组计算机可读指令包括用于接收上游压力信号、接收下游压力信号、计算误差信号以及根据上游压力信号、下游压力信号和误差信号计算阀控制信号的可执行指令。
40.如权利要求39所述的设备,其特征在于,该组计算机可读指令还包括用于接收温度信号以及基于所述温度信号调节上游压力信号和下游压力信号的可执行的指令。
41.如权利要求39所述的设备,其特征在于,该组计算机可读指令还包括根据用于上游压力信号和下游压力信号的比例值、积分值和微分值计算误差信号的可执行的指令。
42.如权利要求41所述的设备,其特征在于,该组计算机可读指令还包括在误差信号上增加误差增益的可执行的指令。
43.如权利要求39所述的设备,其特征在于,该组计算机可读指令还包括将一个或者多个阀增益曲线保留在存储器中、基于与该阀相关的阀增益曲线确定用于特定阀的阀增益以及当计算阀控制信号时考虑阀增益的可执行的指令。
44.如权利要求39所述的设备,其特征在于,该组计算机可读指令还包括基于一组过去的位置值适当调节阀控制信号的可执行的指令。
45.如权利要求39所述的设备,其特征在于,该组计算机可读指令还包括将阀控制信号转变为模拟阀驱动信号以及连通阀驱动信号以驱动所述气动比例控制阀的可执行的指令。
46.一种装置,其包括储存在所述计算机可读存储器上并且可由一个或多个处理器执行的一组计算机可读指令,这组计算机可读指令包括用于接收上游压力信号、接收下游压力信号、计算误差信号、根据与所述阀相关的阀增益曲线为特定阀确定阀增益、并且根据上游压力信号、下游压力信号、误差信号和阀增益计算阀控制信号的可执行指令,其中所述阀增益根据所述特定阀的位置改变。
47.如权利要求46所述的设备,其特征在于,该组计算机可读指令还包括用于接收温度信号以及基于所述温度信号调节上游压力信号和下游压力信号的可执行的指令。
48.如权利要求46所述的设备,其特征在于,该组计算机可读指令还包括根据用于上游压力信号和下游压力信号的比例值、积分值和微分值计算误差信号的可执行的指令。
49.如权利要求46所述的设备,其特征在于,该组计算机可读指令还包括在误差信号上增加误差增益的可执行的指令。
50.如权利要求46所述的设备,其特征在于,该组计算机可读指令还包括基于一组过去的位置值适当调节阀控制信号的可执行的指令。
51.如权利要求46所述的设备,其特征在于,该组计算机可读指令还包括将阀控制信号转变为模拟阀驱动信号以及连通阀驱动信号以驱动所述气动比例控制阀的可执行的指令。
52.一种装置,其包括储存在所述计算机可读存储器上并且可由一个或多个处理器执行的一组计算机可读指令,这组计算机可读指令包括用于接收上游压力信号、接收下游压力信号、根据用于上游压力信号和下游压力信号的比例值、积分值和微分值计算误差信号、将误差增益加到误差信号、根据与所述阀相关的阀增益曲线为特定阀确定阀增益、根据上游压力信号、下游压力信号、误差信号和阀增益计算阀控制信号、根据一组过去位置值适当地调节阀控制信号的可执行指令,其中所述阀增益根据所述特定阀的位置改变。
CNB038224615A 2002-07-19 2003-07-18 液体流动控制设备及方法 Expired - Fee Related CN100374768C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US39705302P 2002-07-19 2002-07-19
US60/397,053 2002-07-19

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CNA2007101413798A Division CN101109470A (zh) 2002-07-19 2003-07-18 液体流动控制器和精密分配设备及系统

Publications (2)

Publication Number Publication Date
CN1685174A true CN1685174A (zh) 2005-10-19
CN100374768C CN100374768C (zh) 2008-03-12

Family

ID=30770985

Family Applications (2)

Application Number Title Priority Date Filing Date
CNB038224615A Expired - Fee Related CN100374768C (zh) 2002-07-19 2003-07-18 液体流动控制设备及方法
CNA2007101413798A Pending CN101109470A (zh) 2002-07-19 2003-07-18 液体流动控制器和精密分配设备及系统

Family Applications After (1)

Application Number Title Priority Date Filing Date
CNA2007101413798A Pending CN101109470A (zh) 2002-07-19 2003-07-18 液体流动控制器和精密分配设备及系统

Country Status (8)

Country Link
US (4) US7543596B2 (zh)
EP (1) EP1540705A4 (zh)
JP (1) JP2005534111A (zh)
KR (1) KR100714985B1 (zh)
CN (2) CN100374768C (zh)
AU (1) AU2003268000A1 (zh)
TW (1) TWI294792B (zh)
WO (1) WO2004010474A2 (zh)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104500472A (zh) * 2014-10-20 2015-04-08 浙江大学 一种传感器温度补偿的电液比例阀控制器
CN109542130A (zh) * 2018-11-07 2019-03-29 广东震仪智能装备股份有限公司 离子喷头流量控制系统及设备
CN111140300A (zh) * 2019-12-31 2020-05-12 华油天然气广元有限公司 一种液化天然气的能量回收方法
CN114199449A (zh) * 2021-11-17 2022-03-18 江苏大学 一种空化发生器内部流体压力测试机及测试方法
CN115076410A (zh) * 2022-07-21 2022-09-20 南京道隆生物科技有限公司 气动隔膜阀的智能控制方法及其结构
CN118051075A (zh) * 2024-04-15 2024-05-17 上海海维工业控制有限公司 一种流体系统中压力控制阀的压力控制方法及系统

Families Citing this family (417)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100374768C (zh) 2002-07-19 2008-03-12 诚实公司 液体流动控制设备及方法
JP4195819B2 (ja) * 2003-01-17 2008-12-17 忠弘 大見 弗化水素ガスの流量制御方法及びこれに用いる弗化水素ガス用流量制御装置
DE10330401B3 (de) * 2003-07-04 2005-02-24 Applied Films Gmbh & Co. Kg Verfahren und Vorrichtung zum bereichsweisen Auftragen von Trennmitteln
CN100477102C (zh) * 2003-10-21 2009-04-08 大日本油墨化学工业株式会社 液体供给方法及装置
ITMI20040023A1 (it) * 2004-01-13 2004-04-13 Dresser Italia S R L Sistema di controllo di un attuatore per l'azionamento di dispositivi sottomarini
US8037896B2 (en) * 2004-03-09 2011-10-18 Mks Instruments, Inc. Pressure regulation in remote zones
US7117104B2 (en) 2004-06-28 2006-10-03 Celerity, Inc. Ultrasonic liquid flow controller
US7155319B2 (en) * 2005-02-23 2006-12-26 Applied Materials, Inc. Closed loop control on liquid delivery system ECP slim cell
GB2442661B (en) * 2005-06-24 2011-01-05 Jubilee Bathrooms Ltd Water controller
WO2007021883A1 (en) 2005-08-12 2007-02-22 Celerity, Inc. Ultrasonic flow sensor
JP4596426B2 (ja) * 2005-09-21 2010-12-08 日立アプライアンス株式会社 熱源装置
US8986456B2 (en) * 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
JP5134841B2 (ja) * 2007-03-16 2013-01-30 Ckd株式会社 ガス供給ユニット
US20090065065A1 (en) * 2007-09-07 2009-03-12 Sand William F Accurate dilution control apparatus and methods
US8265794B2 (en) * 2007-10-01 2012-09-11 Westlock Controls Corporation Knowledge based valve control method
US7832257B2 (en) * 2007-10-05 2010-11-16 Halliburton Energy Services Inc. Determining fluid rheological properties
DE102008007932A1 (de) * 2008-02-07 2009-08-20 Airbus Deutschland Gmbh Luftfahrzeugtanksystem, Betankungsanlage und Verfahren zum Betanken eines Luftfahrzeugs
EP2128380A1 (en) * 2008-05-02 2009-12-02 BP Exploration Operating Company Limited Slug mitigation
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
TWI399246B (zh) * 2009-02-04 2013-06-21 Inotera Memories Inc 改良式供液裝置及其使用方法
SE533456C2 (sv) * 2009-02-05 2010-10-05 Tour & Andersson Ab Ventil försedd med en delta p-funktion och en flödesbegränsningsfunktion
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8256645B2 (en) * 2009-09-28 2012-09-04 Fishman Corporation Fluid dispensing system
US8554377B2 (en) * 2010-11-12 2013-10-08 Terrafore, Inc. Thermal energy storage system comprising optimal thermocline management
US9464414B2 (en) * 2011-02-28 2016-10-11 Smartap A.Y Ltd. Household electronic mixing-valve device
KR101550255B1 (ko) * 2011-05-10 2015-09-04 가부시키가이샤 후지킨 유량 모니터 부착 압력식 유량 제어 장치와, 이것을 사용한 유체 공급계의 이상 검출 방법 및 모니터 유량 이상 시의 처치 방법
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
JP5755958B2 (ja) 2011-07-08 2015-07-29 株式会社フジキン 半導体製造装置の原料ガス供給装置
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
WO2013028542A2 (en) 2011-08-19 2013-02-28 Entegris, Inc. System and method for detecting air in a fluid
US20130048742A1 (en) * 2011-08-25 2013-02-28 Johnson Controls Technology Company Dual port pneumatic fitting apparatus
JP5647083B2 (ja) 2011-09-06 2014-12-24 株式会社フジキン 原料濃度検出機構を備えた原料気化供給装置
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN103987450A (zh) * 2011-11-10 2014-08-13 布里斯菲尔德制造公司 用于富集气体的液体的方法和装置
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
DE102012109206B4 (de) * 2011-11-30 2019-05-02 Hanon Systems Ventil-Sensor-Anordnung
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
GB201212155D0 (en) 2012-07-09 2012-08-22 Stratec Biomedical Ag A device and method for uptake or release of a liquid
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US10132309B2 (en) 2013-03-15 2018-11-20 Integrated Designs, L.P. Apparatus and method for the remote monitoring, viewing and control of a semiconductor process tool
CZ2013495A3 (cs) * 2013-06-26 2015-04-29 Technická univerzita v Liberci Kompenzační zařízení pro proporcionální pneumatický rozváděč
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
WO2015027048A1 (en) * 2013-08-21 2015-02-26 Advanced Sensor Design Technologies, LLC Calibrating a device
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US11407968B2 (en) * 2014-02-21 2022-08-09 Life Technologies Corporation Systems, methods, and apparatuses for media rehydration
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
CN106170346B (zh) 2014-05-01 2019-04-19 固瑞克明尼苏达有限公司 封闭的系统中的流体压力控制方法
CN106132561B (zh) 2014-05-01 2019-03-26 固瑞克明尼苏达有限公司 用于高瞬态系统的流量控制校准的方法
EP3167217B1 (en) * 2014-07-07 2020-07-22 Nanotech Analysis S.R.L. Device for controlling a gaseous flow and systems and methods employing the device
US9714740B2 (en) * 2014-07-18 2017-07-25 Instrument Solutions Inc. System, method, and apparatus for regulating the flow of gas
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
EP3191751B1 (en) * 2014-09-09 2020-11-04 Proteus Industries Inc. Systems and methods for coolant drawback
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
AU2016257653B2 (en) 2015-05-01 2019-12-05 Graco Minnesota Inc. Adaptive flow control
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
RU2719088C2 (ru) * 2015-10-09 2020-04-17 Фишер Контролз Интернешнел Ллс Контроллер клапана, способ эксплуатации контроллера клапана, система управления клапаном и машиночитаемый носитель
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
CN108291563B (zh) * 2015-10-29 2020-04-03 费斯托股份有限两合公司 流体控制装置及用于操作流体控制装置的方法
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
JP6415418B2 (ja) * 2015-11-27 2018-10-31 株式会社アドヴィックス 流体制御弁装置
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
DE102016206089A1 (de) * 2016-04-12 2017-10-12 Robert Bosch Gmbh Schieberventil
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10352578B2 (en) * 2016-06-09 2019-07-16 Board Of Regents, The University Of Texas System Adaptive optimization for dynamic room pressurization control
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
DE102016215323A1 (de) * 2016-08-17 2018-02-22 Bayerische Motoren Werke Aktiengesellschaft Verfahren zum Betrieb eines Ventils eines Druckbehältersystems sowie Druckbehältersystem
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10532821B2 (en) * 2016-11-04 2020-01-14 Eaton Intelligent Power Limited Fluid system having a control valve and a monitoring system therefor
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10258741B2 (en) 2016-12-28 2019-04-16 Cequr Sa Microfluidic flow restrictor and system
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP7049684B2 (ja) * 2017-03-28 2022-04-07 株式会社フジキン 圧力式流量制御装置および流量制御方法
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10576318B1 (en) * 2017-07-28 2020-03-03 Victaulic Company Differential flow detector for firefighting systems
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
CN107246494A (zh) * 2017-08-08 2017-10-13 安徽能测能控科技有限公司 一种模拟量无线阀门控制器
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
CN108525894A (zh) * 2017-11-13 2018-09-14 北京北机机电工业有限责任公司 一种流量喷射控制装置及方法
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR20210015859A (ko) 2018-05-23 2021-02-10 그라코 미네소타 인크. 혼합 매니폴드 및 밸브 밀봉 조립체
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) * 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11365828B2 (en) * 2018-07-06 2022-06-21 Danfoss Power Solutions Ii Technology A/S System and method for detecting position of a valve driven by a solenoid linear actuator
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20230165878A (ko) 2018-08-29 2023-12-05 엠케이에스 인스트루먼츠 인코포레이티드 오존수 전달 시스템 및 사용 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
EP3853563A1 (en) 2018-09-18 2021-07-28 Swagelok Company Fluid monitoring module arrangements
WO2020061127A1 (en) 2018-09-19 2020-03-26 Swagelok Company Flow restricting fluid component
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210111349A (ko) * 2019-01-31 2021-09-10 램 리써치 코포레이션 고급 반도체 애플리케이션들을 위한 멀티-채널 액체 전달 시스템
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
US11383211B2 (en) * 2019-04-29 2022-07-12 Tokyo Electron Limited Point-of-use dynamic concentration delivery system with high flow and high uniformity
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
JP7488524B2 (ja) * 2019-11-29 2024-05-22 株式会社フジキン 流量測定器
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
US20210341327A1 (en) * 2020-04-30 2021-11-04 Fisher Controls International Llc Methods and apparatus for quantifying pneumatic volume usage via valve controllers
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US20220146052A1 (en) * 2020-11-06 2022-05-12 Vrg Controls Llc Monitor control valve with backflow prevention
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
TWI753722B (zh) * 2020-12-25 2022-01-21 財團法人工業技術研究院 壓力感測器校正系統
TWI754574B (zh) * 2021-04-07 2022-02-01 芯巧科技股份有限公司 能根據水流資訊以調整液體溫度的方法
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
CN115672670A (zh) * 2021-07-28 2023-02-03 上海芯源微企业发展有限公司 送风系统及其控制方法
KR20230025563A (ko) * 2021-08-12 2023-02-22 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2365650A (en) * 1942-09-22 1944-12-19 Penn Electric Switch Co Water valve
US2770251A (en) * 1953-06-25 1956-11-13 Daniel And Florence Guggenheim Quick-acting reducing valve
US3313212A (en) 1964-06-01 1967-04-11 Dow Chemical Co Magnetic-pneumatic control system
US3463442A (en) * 1965-08-03 1969-08-26 Henryk J Leskiewicz Multi-input diaphragm logic element
US3897041A (en) * 1973-08-20 1975-07-29 Leesona Corp Fluid flow control elements with area dividing inserts
US4705461A (en) 1979-09-19 1987-11-10 Seeger Corporation Two-component metering pump
US4872638A (en) * 1988-01-29 1989-10-10 Semitool, Inc. Slow acting fluid valve
US5167837A (en) 1989-03-28 1992-12-01 Fas-Technologies, Inc. Filtering and dispensing system with independently activated pumps in series
JP2803859B2 (ja) 1989-09-29 1998-09-24 株式会社日立製作所 流動体供給装置およびその制御方法
US5251148A (en) * 1990-06-01 1993-10-05 Valtek, Inc. Integrated process control valve
US5115842A (en) 1990-08-30 1992-05-26 Intel Corporation Apparatus for delivery of a liquid
JP2589424B2 (ja) * 1991-12-06 1997-03-12 シーケーディ株式会社 圧力制御弁
US5527161A (en) 1992-02-13 1996-06-18 Cybor Corporation Filtering and dispensing system
US5303731A (en) * 1992-06-30 1994-04-19 Unit Instruments, Inc. Liquid flow controller
US5190068A (en) * 1992-07-02 1993-03-02 Brian Philbin Control apparatus and method for controlling fluid flows and pressures
US5261442A (en) * 1992-11-04 1993-11-16 Bunnell Plastics, Inc. Diaphragm valve with leak detection
US6190565B1 (en) 1993-05-17 2001-02-20 David C. Bailey Dual stage pump system with pre-stressed diaphragms and reservoir
US5490765A (en) 1993-05-17 1996-02-13 Cybor Corporation Dual stage pump system with pre-stressed diaphragms and reservoir
US5549137A (en) 1993-08-25 1996-08-27 Rosemount Inc. Valve positioner with pressure feedback, dynamic correction and diagnostics
JPH0727150U (ja) 1993-10-07 1995-05-19 大日本スクリーン製造株式会社 シリカ系被膜形成用塗布液吐出装置
JPH0830332A (ja) * 1994-07-18 1996-02-02 Gas Mitsukusu Kogyo Kk 精密圧力制御弁
US5493488A (en) 1994-12-05 1996-02-20 Moore Industries International, Inc. Electro-pneumatic control system and PID control circuit
JP2784154B2 (ja) * 1994-12-27 1998-08-06 シーケーディ株式会社 マスフローコントローラ
JPH08293452A (ja) 1995-04-25 1996-11-05 Mitsubishi Electric Corp レジスト塗布装置
WO1996034194A2 (en) * 1995-04-27 1996-10-31 Richard Nigel Bushell Automatic valve for the inlet manifold of an internal combustion engine
IT1275826B1 (it) * 1995-10-30 1997-10-17 Nuovo Pignone Spa Valvola perfezionata per la regolazione e misura di una portata massica di gas
JP3307538B2 (ja) * 1996-06-14 2002-07-24 株式会社日立製作所 一体形差圧式流量計
EP1020779B1 (en) 1996-08-21 2007-10-17 Fisher Controls International LLC Elastomeric element valve
JP3165043B2 (ja) * 1996-09-05 2001-05-14 東レエンジニアリング株式会社 液体塗布装置
US5950668A (en) * 1996-10-09 1999-09-14 Fisher Controls International, Inc. Control valve positioners having improved operating characteristics
JP3578577B2 (ja) 1997-01-28 2004-10-20 大日本スクリーン製造株式会社 処理液供給方法及びその装置
US6062535A (en) * 1997-02-12 2000-05-16 Cummins Engine Company, Inc. Exhaust gas recirculation valve with variable flow area
US5900045A (en) 1997-04-18 1999-05-04 Taiwan Semiconductor Manufacturing Co.Ltd. Method and apparatus for eliminating air bubbles from a liquid dispensing line
JP3373144B2 (ja) 1997-09-10 2003-02-04 アドバンス電気工業株式会社 流量コントロールバルブ
JP3540627B2 (ja) * 1998-09-29 2004-07-07 株式会社東芝 振り分け弁制御装置
US6152162A (en) * 1998-10-08 2000-11-28 Mott Metallurgical Corporation Fluid flow controlling
EP1133639B1 (en) * 1998-11-23 2004-06-09 Mykrolis Corporation Pump controller for precision pumping apparatus
US6348098B1 (en) * 1999-01-20 2002-02-19 Mykrolis Corporation Flow controller
US6173735B1 (en) * 1999-04-29 2001-01-16 Perry Equipment Corporation Method and apparatus for regulating gas flow
JP3467438B2 (ja) * 1999-09-29 2003-11-17 アドバンス電気工業株式会社 背圧制御弁
US6578435B2 (en) * 1999-11-23 2003-06-17 Nt International, Inc. Chemically inert flow control with non-contaminating body
US6357335B1 (en) * 1999-12-23 2002-03-19 Sox Corporation Pneumatic volume booster for valve positioner
JP4578607B2 (ja) * 2000-02-23 2010-11-10 株式会社堀場エステック マスフローコントローラ
US6539968B1 (en) * 2000-09-20 2003-04-01 Fugasity Corporation Fluid flow controller and method of operation
JP3634733B2 (ja) * 2000-09-22 2005-03-30 Smc株式会社 流体圧力調整装置
JP2002149242A (ja) * 2000-11-16 2002-05-24 Tokimec Inc 流量制御システム
KR20040024854A (ko) * 2001-04-24 2004-03-22 셀레리티 그룹 아이엔씨 질량유량 제어장치를 위한 시스템 및 방법
US6680078B2 (en) 2001-07-11 2004-01-20 Micron Technology, Inc. Method for dispensing flowable substances on microelectronic substrates
CN100374768C (zh) 2002-07-19 2008-03-12 诚实公司 液体流动控制设备及方法
EP1523701A2 (en) * 2002-07-19 2005-04-20 Celerity Group, Inc. Methods and apparatus for pressure compensation in a mass flow controller
JP3801570B2 (ja) * 2003-02-24 2006-07-26 Smc株式会社 流量制御装置
US7133785B2 (en) * 2004-07-08 2006-11-07 Celerity, Inc. Valve control system and method
JP4461329B2 (ja) * 2004-08-31 2010-05-12 旭有機材工業株式会社 流体制御装置
US7533690B2 (en) * 2005-07-07 2009-05-19 Stanford Mu Corporation Multi-functional regulator

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104500472A (zh) * 2014-10-20 2015-04-08 浙江大学 一种传感器温度补偿的电液比例阀控制器
CN109542130A (zh) * 2018-11-07 2019-03-29 广东震仪智能装备股份有限公司 离子喷头流量控制系统及设备
CN109542130B (zh) * 2018-11-07 2021-10-08 广东震仪智能装备股份有限公司 离子喷头流量控制系统及设备
CN111140300A (zh) * 2019-12-31 2020-05-12 华油天然气广元有限公司 一种液化天然气的能量回收方法
CN111140300B (zh) * 2019-12-31 2022-07-26 华油天然气广元有限公司 一种液化天然气的能量回收方法
CN114199449A (zh) * 2021-11-17 2022-03-18 江苏大学 一种空化发生器内部流体压力测试机及测试方法
CN115076410A (zh) * 2022-07-21 2022-09-20 南京道隆生物科技有限公司 气动隔膜阀的智能控制方法及其结构
CN115076410B (zh) * 2022-07-21 2022-11-04 南京道隆生物科技有限公司 气动隔膜阀的智能控制方法及其结构
CN118051075A (zh) * 2024-04-15 2024-05-17 上海海维工业控制有限公司 一种流体系统中压力控制阀的压力控制方法及系统

Also Published As

Publication number Publication date
US7543596B2 (en) 2009-06-09
WO2004010474A2 (en) 2004-01-29
EP1540705A2 (en) 2005-06-15
AU2003268000A1 (en) 2004-02-09
US20120090704A1 (en) 2012-04-19
KR20050027250A (ko) 2005-03-18
US8430120B2 (en) 2013-04-30
TW200408457A (en) 2004-06-01
KR100714985B1 (ko) 2007-05-09
US20050173003A1 (en) 2005-08-11
CN100374768C (zh) 2008-03-12
CN101109470A (zh) 2008-01-23
EP1540705A4 (en) 2009-12-16
AU2003268000A8 (en) 2004-02-09
US20130220452A1 (en) 2013-08-29
US8939428B2 (en) 2015-01-27
JP2005534111A (ja) 2005-11-10
TWI294792B (en) 2008-03-21
WO2004010474A3 (en) 2004-05-06
US20090230336A1 (en) 2009-09-17
US8082946B2 (en) 2011-12-27

Similar Documents

Publication Publication Date Title
CN1685174A (zh) 液体流动控制器和精密分配设备及系统
CN1682235A (zh) 流体流量测量和比例流体流量控制装置
JP2005534111A5 (zh)
CN1127004C (zh) 流体供给设备
CN100338541C (zh) 可变压力调节流动控制器
RU2302653C2 (ru) Система подачи и рециркуляционная система распределения жидкости высокой степени чистоты
JP2013520843A (ja) ポンプの運転を最適化するための方法およびシステム
CN1922469A (zh) 耐蚀金属制流体用传感器及用该传感器的流体供给设备
CN1575351A (zh) 含有内压控制系统的常压晶片加工反应器及方法
WO2003093118A1 (en) Chemical mix and delivery systems and methods thereof
US8622073B2 (en) Apparatus and method for controlling flow rate of liquid, and storage medium
US6955764B2 (en) Method and apparatus for preparing slurry for CMP apparatus
JPH11135472A (ja) 基板処理装置
JPH0963965A (ja) 有機金属供給装置および有機金属気相成長装置
JP2002016030A (ja) 研磨液の調製方法および調製装置
JP2021057485A (ja) 半導体製造装置及び湿度制御方法
US20240175126A1 (en) Gas recycling systems, substrate processing systems, and related apparatus and methods for semiconductor manufacturing
CN215088122U (zh) Hmds供应装置
JPH11138438A (ja) 研磨装置及び砥液供給システム
CN114709147A (zh) 用于处理半导体晶圆的设备以及用于控制引物施加气体中引物量的系统和方法
CN114182354A (zh) 反应室气体增湿装置及扩散设备

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
ASS Succession or assignment of patent right

Owner name: HONEST CO.,LTD.

Free format text: FORMER OWNER: MYKROLIS CORP.

Effective date: 20070803

C41 Transfer of patent application or patent right or utility model
TA01 Transfer of patent application right

Effective date of registration: 20070803

Address after: American Minnesota

Applicant after: Entegris Inc.

Address before: Massachusetts

Applicant before: Mykrolis Corp.

C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20080312

Termination date: 20090818