CN1501440A - 可在连接状态下进行质量流控制器检查的半导体制造装置 - Google Patents

可在连接状态下进行质量流控制器检查的半导体制造装置 Download PDF

Info

Publication number
CN1501440A
CN1501440A CNA200310116476A CN200310116476A CN1501440A CN 1501440 A CN1501440 A CN 1501440A CN A200310116476 A CNA200310116476 A CN A200310116476A CN 200310116476 A CN200310116476 A CN 200310116476A CN 1501440 A CN1501440 A CN 1501440A
Authority
CN
China
Prior art keywords
semiconductor
mass flow
flow controller
fabricating device
multiple gases
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA200310116476A
Other languages
English (en)
Inventor
ʿ
石田胜士
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Renesas Technology Corp
Original Assignee
Renesas Technology Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Renesas Technology Corp filed Critical Renesas Technology Corp
Publication of CN1501440A publication Critical patent/CN1501440A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05DSYSTEMS FOR CONTROLLING OR REGULATING NON-ELECTRIC VARIABLES
    • G05D7/00Control of flow
    • G05D7/06Control of flow characterised by the use of electric means
    • G05D7/0617Control of flow characterised by the use of electric means specially adapted for fluid materials
    • G05D7/0629Control of flow characterised by the use of electric means specially adapted for fluid materials characterised by the type of regulator means
    • G05D7/0635Control of flow characterised by the use of electric means specially adapted for fluid materials characterised by the type of regulator means by action on throttling means
    • G05D7/0641Control of flow characterised by the use of electric means specially adapted for fluid materials characterised by the type of regulator means by action on throttling means using a plurality of throttling means
    • G05D7/0658Control of flow characterised by the use of electric means specially adapted for fluid materials characterised by the type of regulator means by action on throttling means using a plurality of throttling means the plurality of throttling means being arranged for the control of a single flow from a plurality of converging flows
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/7722Line condition change responsive valves
    • Y10T137/7758Pilot or servo controlled
    • Y10T137/7759Responsive to change in rate of fluid flow
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/7722Line condition change responsive valves
    • Y10T137/7758Pilot or servo controlled
    • Y10T137/7761Electrically actuated valve
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/87917Flow path with serial valves and/or closures

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Automation & Control Theory (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

在半导体制造装置工作时,控制多个阀(121~147)的开闭,使多种气体直接流入气体室(105)中,在质量流控制器(MFC2’)(102’)检查时,控制多个阀(121~147)的开闭,使气体A流入质量流计(MFM1~3)(151~153)。因而,可以在连接质量流控制器(MFM2’)(102’)状态下进行检查。

Description

可在连接状态下进行质量流控制器检查的半导体制造装置
技术领域
本发明涉及通过控制蚀刻器、CVD(化学气相淀积)等的气体流量来进行半导体制造的半导体制造装置,特别是涉及进行流量控制仪器、流量指示仪器等的自动检查和自动转换的半导体制造装置。
背景技术
近年来,半导体器件正在进入到各种各样的装置中,其消耗量在不断增长。这种半导体器件的制造装置包括蚀刻机、CVD等使用各种气体来进行处理的装置。通常,在用各种气体进行处理的制造装置中,使用了控制气体流量的质量流控制器(MFC),指示气体流量的检查用质量流计(MFM)等。
作为涉及这样的半导体制造装置的技术,有公告在特开平6-53103号公报和特开平5-108167号公报上的发明。
公告在特开平6-53103号公报上的半导体制造装置中,为了自动地校正质量流控制器的的流量特性,设置了一个或多个校正用质量流控制器,并有串联连接测量用质量流控制器和校正用质量流控制器的配管系统,让反应性弱的气体流过。
另外,在公告于特开平5-108167号公报上的控制装置中,顺序控制器是从质量流控制器的的控制开始,通过监视一定时间的工作变动状态来检测质量流控制器的异常的装置。
公告于上述的特开平6-53103号公报上的半导体制造装置中,由于有串联连接测量用质量流控制器和校正用质量流控制器的配管系统,可以对作为被检对象的测量用质量流控制器进行校正。但是,用校正用质量流控制器进行气体的流量控制,由于该气体流到作为被检对象的测试用质量流控制器中,存在着仅只能进行测量用质量流控制器的流量传感器部位的检查,而不能确认测量用质量流控制器的流量控制性能这样的问题。
另外,由于检查用的气体经过别的的路径流入校正用质量流控制器,配管系统会变得复杂,同时还需要零件、配管等的费用,因此存在着半导体制造装置成本增加的问题。
还有,在公告于特开平5-108167号公报的控制装置中,顺序控制器是检测质量流控制器异常的装置。但是,在检测出质量流控制器的异常的情况下,要停止半导体制造装置的运转并拆卸配管,在更换质量流控制器之后,必须进行泄漏检测、过程检测等检查。因而,存在着半导体装置停工时间长的问题。
发明内容
本发明的目的是提供可在连接有质量流控制器的状态下进行质量流控制器的检查的半导体制造装置。
本发明的半导体制造装置是用多种气体来进行处理的半导体制造装置,其中设有:流入多种气体的气体室;对应于多种气体而设置的多个质量流控制器;测量多种气体的流量的质量流计;控制多种气体的流动的多个阀门;以及用以在半导体制造装置工作时控制多个阀门的开闭使多种气体直接流入气体室,在质量流控制器的检查时控制多个阀门的开闭使多种气体中的任意一种流入质量流计的控制部分。
依据本发明的半导体制造装置,在质量流控制器的检查时,由于控制部分控制多个阀门的开闭,使多种气体中的任意一种流入质量流计,因此可以在连接质量流控制器的状态下进行质量流控制器的检查。
依据本发明的另一形态,半导体制造装置是用多种气体进行处理的半导体制造装置,其中设有:流入多种气体的气体室;对应于多种气体而设置的多个质量流控制器;控制多种气体流动的多个阀;以及用以在半导体制造装置工作时控制多个阀门的开闭使多种气体直接流入气体室,在第一质量流控制器的检查时控制多个阀门的开闭使第一种气体经由第二质量流控制器流入第一质量流控制器的控制部分。
由于在第一质量流控制器的检查时控制部分控制多个阀的开闭,使第一种气体经由第二质量流控制器流入第一质量流控制器,所以,在连接有第一和第二质量流控制器的状态下,可以进行对第一质量流控制器的检查。
本发明的上述和其它的目的、特征、形态和优点,从参照附图理解的本发明的以下的详细说明中可以清晰了解。
附图说明
图1是说明蚀刻器、CVD等一般的半导体制造装置的气流的示图。
图2是表示本发明的第一实施例中的半导体制造装置的概略结构的框图。
图3是说明在本发明的第一实施例中的半导体制造装置的MFM1~3的检查时的气流的示图。
图4是表示在本发明的第一实施例中检查半导体制造装置的计算机的结构例的框图
图5是说明在本发明的第一实施例中的半导体制造装置的检查时,计算机的处理步骤的流程图。
图6是表示一例MFC选择画面的示图。
图7是表示一例检查项目设定画面的示图。
图8是表示一例检查结果显示画面的示图。
图9是更详细说明示于图5的步骤S105的处理的流程图。
图10是为了详细说明示于图5的步骤S110(MFC自动转换处理)的流程图。
图11是表示本发明的第二实施例中的半导体制造装置的概略结构的框图。
图12是说明本发明的第二实施例中的半导体制造装置的处理步骤的流程图。
图13是表示在本发明的第三实施例中的半导体制造装置的概略结构的框图。
图14A和图14B是表示一例改造已设的半导体制造装置并设置图13所示的旁路管线的情况的示图。
图15是表示本发明的第四实施例中的半导体制造装置的概略结构的框图。
具体实施方式
(第一实施例)
图1是说明蚀刻器、CVD等一般的半导体制造装置的气流的示图。该半导体制造装置设有:质量流控制器(MFC)1~4(101~104);气体室105;止回阀106~108;气动阀(以下仅称为阀)109~120。
在该结构中,例如在MFC2(102)上发生异常时,由于不能检查它,需要关闭阀111~113之后,卸下MFC2(102)单独进行检查。
图2是表示本发明的第一实施例中的半导体制造装置的概略结构的框图。该图表示在质量流控制器(MFC2’)检查时的气流。该半导体制造装置设有:MFC1~4(101~104);MFC2’~4’(102’~104’);气体室105;止回阀106~108;阀121~147;质量流计(MFM)1~6(151~156)。另外,半导体制造装置的各构成部分可用后述的计算机加以控制。
MFC2’~4’(102’~104’)是分别与MFC2~4(102~104)相同一品种的质量流控制器,在MFC2~4(102~104)上发生异常时,被换上来进行气体流量的控制。例如,在图2中示出MFC2(102)被替换成MFC2’(102’)后的状态,在关闭阀124和125并停止MFC2(102)的工作的同时,打开阀127和128让MFC2’(102’)开始工作。于是,在MFC2(102)被判断为异常时转换到MFC2’(102’),可以不使半导体制造装置停止运转而向气体室105流入气体A。
另外,MFM1~6(151~156)分别是流量范围不同的质量流计。质量流计等流量指示仪器由于流量的满量程不同,流量精度也各异。例如,如果用大流量的流量测定量小流量的气体,则测量误差会变大。因而,测量小流量的气体时,要用适于该流量值的质量流计。再者,MFM的流量范围和数量可以根据MFC的流量范围任意选择。
图2示出进行MFC2’(102’)检查的情况,打开阀142、144和147,来自MFC2’(102’)的气体经MFM1~MFM3(151~153)流入气体室105。这时,阀141、143、145和146关闭。另外,在检查其它MFC时,对适当的阀进行开闭控制。
图3是说明检查本发明实施例中的半导体制造装置的质量流计(MFM1~3)时的气流示图。在MFM检查用端口上连接有用以检查MFM1~6(151~156)的薄膜流量计等的外部检查仪器。打开阀121、122、142、144和146,其它的阀全部关闭,N2(氮)气通过MFM检查用端口流入外部的检查仪器。于是,可以不开放气体室进行MFM1~3(151~153)的精度确认。再有,进行MFM4~6(154~156)的精度确认时,阀121、122、143、145和146被打开,其它的阀全部关闭。
图4是表示检查本发明第一实施例中的半导体制造装置的计算机结构例的框图。该计算机包括计算机主机201、显示装置202、装有FD(软盘)204的FD驱动器、键盘205、鼠标206、装有CD-ROM(光盘只读存储器)208的CD-ROM装置207和仪器控制用接口209。
检查半导体制造装置的程序(以下称检查程序)由FD204或CD-ROM208等记录媒体供给。通过用计算机主机201执行检查程序,进行对半导体制造装置的检查。
计算机主机201设有:CPU(中央处理器)210、ROM(只读存储器)211、RAM(随机存取存储器)212和硬盘213。CPU210一边与显示装置202、FD驱动器203、键盘205、鼠标206、CD-ROM装置207、仪器控制用接口209、ROM211、RAM212或硬盘213进行数据的输入输出,一边进行处理。记录在FD204或CD-ROM208中的检查程序用CPU210经由FD驱动器203或CD-ROM装置207暂时存储到硬盘213上。CPU210将适当的检查程序从硬盘213装载到RAM212上并加以执行,进行对半导体制造装置的检查。
图5是说明本发明第一实施例中的半导体制造装置检查时的计算机处理步骤的流程图。首先,计算机将用以选择作为检查对象的MFC的画面(以下称为MFC选择画面)在显示装置202上显示,让操作者选择进行检查的MFC(S101)。
图6是表示一例MFC选择画面的示图。在该MFC选择画面上表示了半导体制造装置的整体结构,由操作者反像显示所选择的(用鼠标206点击)MFC。在图6中示出了由操作者选择的MFC2’(102’)的地方。另外,可以选择多个MFC作为检查对象。
接着,计算机将用以对所选择的MFC设定检查项目的画面(以下称为检查项目设定画面。)显示在显示装置202上,让操作者设定检查项目(S102)。
图7是一例表示检查项目设定画面的示图。在该检查项目设定画面上显示了作为检查项目的流量精度检查、重复精度检查与线性检查。操作者可以从显示在检查项目设定画面上的检查项目中选择多个检查顶目。
在选择流量精度检查时,设定对MFC的流量范围的检查范围(%)和该时刻的检查间隔(%)。在图7中,检查范围设定为0-100%,检查间隔设定为10%。
另外,在选择重复精度检查时,设定其重复次数。在图7中,重复次数设定为5次。对于线性检查,没有供操作者设定的顶目。
然后,计算机参照在MFC选择画面和检查项目设定画面中所设定的MFC的个数和检查项目,计算检查需要的时间并在示于图7的检查项目设定画面上显示检查所需时间(S103)。在图7中,检查所需时间显示为1小时15分钟。
操作者确认显示在检查项目设定画面上的各项目,如用鼠标点击显示在检查项目设定画面上的「检查开始」,就根据已设定的检查项目开始半导体制造装置的检查(S104)。
计算机从由操作者选择的MFC和检查项目中选择对该检查最适当的MFM(S105)。然后,对所选择的MFC实施所设定的检查项目的检查并进行判定(S106)。如果检查对象的MFC是合格的(S106,OK),则在用以显示检查结果的画面(以下称检查结果显示画面)上显示检查合格(S107),半导体制造装置继续进行半导体器件的生产(S108)。
而如果检查对象的MFC不合格(S106,NG),则在检查结果显示画面上显示检查不合格(S109),执行MFC自动转换处理(S110)。
图8是表示一例检查结果显示画面的示图。在该检查结果显示画面的流量精度检查结果中,显示了MFC的设定值、由MFM产生的实测值、判定标准、误差和判定结果。在图7所示的检查项目设定画面中,作为流量精度检查的检查范围设定在0~100%,检查间隔设定在10%,所以,对MFC设定0%,10%,20%,...,100%,根据该时刻MFM测定的流量被作为实测值显示。在该流量精度检查结果中,相对设定值的实测值的误差达到作为全部判定标准的±3%以下,因而,被判定为合格。
另外,在重复精度检查结果中,显示了MFC的设定值、MFM测得的实测值的平均值、判定标准、标准偏差和判定结果。在示于图7的检查项目设定画面中,由于作为重复精度检查的重复次数设定为5次,所以在FMC上设定0%、10%%、20%...100%的流量各5次,根据那时的MFM测量的5次流量的平均值作为实测平均被显示,计算并显示那时的标准偏差。在这个重复精度检查结果中,由于相对于设定值的标准偏差达到作为全部判定基准的±0.33%以下,所以,判定为合格。
在线性检查结果中,表示了用最小二乘法计算的MFC的设定值(X)和由MFM产生的实测值(Y)的线性式。在所计算的系数a比0.99大,系数b比0.01小的情况下,线性检查判定为合格。在图9中,由于a=0.9994、b=0.0003,被判定为合格。另外,MFC的设定值和由MFM得到的实测值的关系在图中用曲线表示。
图9是更详细地说明示于图5的步骤S105的处理的流程图。首先,计算机计算由MFC产生的气体的实际流量(S111)。由MFC产生的气体的实际流量按下式计算:(MFC的设定流量)×〔实际流过气体的CF(转换系数)〕/(被校正后的MFC的CF)。
作为一例,在SiH4气体用的MFC〔满量程为1SLM(标准升/分)〕中流过N2气体500SCCM(标准毫升/分),就从100SCCM、1SLM、10SLM的3个MFM中选出最佳的MFM满量程的情况进行说明。如实际流过气体的CF取为1,将校正后的MFC的CF取为0.596,则N2气体的实际流量为500×1/0.596=838.9SCCM。
接着,计算机判定气体的实际流量是否在90SCCM以下(S112)。如果气体的流量在90SCCM以下(S112,OK),则选择100SCCM的MFM(S113)。另外,如果气体的实际流量比90SCCM大(S112,NG),则计算机判定气体的实际流量是否在900SCCM以下(S114)。
如果气体的流量在900SCCM以下(S114,OK),则选择1SLM的MFM(S115)。另外,如果气体的实际流量比900SCCM大(S112,NG),则选择10SLM的MFM(S116)。在上述的SiH4气体用的MFC的情况下,可选择1SLM的MFM。
图10是详细说明图5所示的步骤S110(MFC自动转换处理)的流程图。首先,计算机选择作为被检对象的正在工作的MFC中的任意一个(S121)。这时,就选择MFC2’(102’)作为被检对象的情况进行说明。
接着,操作者选择对被检对象的MFC2’(102’)检查项目(S122)。这时,显示与图7所示的检查项目设定画面同样的画面,让操作者设定检查项目。
接着,计算机对作为被检对象的MFC2’(102’)执行由操作者所设定的检查项目的检查,判定检查结果合格与否(S123)。如果MFC2’(102’)的检查结果合格(S123,OK),则将表示检查结果合格的画面在显示装置202上显示并结束处理(S124)。这时,显示与图8所示的检查结果显示画面同样的画面,向操作者示出检查结果合格。
另外,如果检查结果不合格(S123,NG),则将表示检查结果不合格的画面在显示装置202上(S125)显示,进行阀的开闭控制并将被检对象从MFC2’(102’)变更成MFC2(102)(S126)。
接着,计算机对作为被检对象的MFC2(102)执行检查,判断检查结果合格与否(S127)。如果MFC(102)的检查结果合格(S127,OK),则将表示检查结果合格的画面显示在显示装置202上(S129),将加工过程用MFC转换成MFC2(102),继续用半导体制造装置进行半导体器件的生产。
另外,如果检查结果不合格(S127,NG),则停止半导体制造装置的运转,由操作者将MFC2’(102’)和MFC2(102)更换成合格品。然后,返回步骤121,重复同样的处理。
如上所述,依据本实施例中的半导体制造装置,由于设置了多个同一品种的MFC作为各气体用的MFC,MFC发生异常时,只需对阀的关闭加以控制而转换到另一MFC,从而不需要进行MFC的更换、工艺过程确认等作业,可以继续半导体制造装置的运转。
并且,在半导体制造装置内设置多个MFM,通过对阀的开闭的控制使来自MFC的气体流入MFM,因此,可以在连接到气体室、CVD等的半导体制造装置的状态下进行对MFC的检查。
另外,由于设置了MFM检查用端口,可以连接外部的检查仪器,可以容易地进行半导体制造装置内的MFM的精度确认。
(第二实施例)
图11是表示本发明的第二实施例中的半导体制造装置的概略结构的框图。该半导体制造装置设有:真空室161;阀162和163;真空表VG1(164)和VG1’(165)。另外,半导体制造装置的各构成部分可由图4所示的计算机控制。本实施例中就真空表的情况作了说明,但是,所作说明当然也能适用于各种传感器。
图11中,阀162打开,阀163关闭,用VG1(164)测量真空室161内的真空度。并且,若阀162关闭,阀163打开,则可以用VG1’(165)测量真空室161内的真空度。
图12是说明本发明第二实施例中的半导体制造装置的处理步骤的流程图。首先,计算机选择作为被检对象的正在工作的真空表中的任意一个(S131)。这时,就选择VG1(164)作为被检对象的情况进行说明。
接着,操作者选择对被检对象的VG1(164)检查项目(真空精度等)(S132)。这时,显示与图7所示的检查项目设定画面同样的画面,让操作者设定检查项目。
接着,计算机对作为被检对象的VG1(164)执行由操作者设定的检查项目的检查,判定检查结果合格与否(S133)。如果VG1(164)的检查结果合格(S133,OK),则将表示检查结果合格的画面显示在显示装置202上并结束处理(S134)。这时,显示与图8所示的检查结果表示画面同样的画面,向操作者示出检查合格。
另外,如果检查结果不合格(S133,NG),则将表示检查结果不合格的画面显示在显示装置202上(S135),进行阀的开闭控制并将阀从VG1(164)转换至VG1’(165)(S136),然后用半导体制造装置继续进行半导体器件的生产。
如上所述,依据本实施例中的半导体制造装置,由于设置了多个同样的真空表,当工作中的真空表发生异常时,可以对阀的开闭加以控制而转换成另外的真空表,从而不需要进行真空表的更换等作业,可以继续用半导体制造装置进行半导体器件的生产。
(第三实施例)
图13是表示本发明第三实施例中的半导体制造装置的概略结构的框图。与图1所示的半导体制造装置的概略结构相比,本例的不同点在于:在MFC1(101)和阀110之间设有阀301,再就是设置阀302作为旁路管线(校正用管线)。
在图13中示出,将MFC1(101)作为检查用MFC,检查MFC2(102)时的气流,阀109、111、113、120和301打开,除此以外的阀全部关闭。于是,通过比较设定在MFC1(101)上的N2气的流量与用MFC2(102)测量得到的流量,对MFC2(102)进行校正。其前提是MFC1(101)的流量控制能正确执行。
再有,可以通过阀111和113的关闭,以及阀114和116的打开,进行MFC3(103)的校正。同样,也可以通过阀111和113的关闭,阀117和119的打开,进行MFC4(104)的校正。还有,阀的开闭控制和MFC的控制等与第一实施例一样,用图4所示的计算机等来执行。
图14A和图14B是表示一例改造原先设置的半导体制造装置,设置图13所示的旁路管线的情况的示图。图14A表示图1所示的原先设置的半导体制造装置的MFC1(101)和其前后的阀109和110。如图14B所示,仅将阀109和110置换成阻塞阀303和304,配管布局不作大规模的变更,就可以增加旁路管线。另外,在阻塞阀303和304之间的旁路管线上连接与止回阀106、107和108相连的配管。
如上所述,依据本实施例中的半导体制造装置,由于增加阀301和302并设置旁路管线,指定MFC1(101)作为检查用的MFC进行其它的MFC2~4(102~104)的校正,所以,可以在与气体室、CVD等的半导体制造装置相连接的状态下进行MFC的检查。
另外,由于可以仅将已经设置的阀置换成阻塞阀并设置旁路管线,所以容易地将已经设置的半导体制造装置改造为可检查的半导体制造装置。
(第四实施例)
图15是表示本发明的第四实施例中的半导体制造装置的概略结构的框图。与图1所示的半导体制造装置的概略结构相比,本例的不同点在于,增加了阀302和MFC5(305)作为校正管线。
图15中,MFC5(305)设为检查用MFC,图中示出了检查MFC2(102)时的气流,阀111、113、120和302打开,除此以外的阀全部关闭。于是,通过比较设定在MFC5(305)上的N2气流量和用MFC2(102)测得的流量,对MFC2(102)进行校正。其前提是MFC5(305)的流量控制能正确执行。
还有,可以通过阀111和113的关闭,以及阀114和116的打开,进行对MFC3(103)的校正。同样,可以通过阀111和113的关闭,以及阀117和119的打开,进行对MFC4(104)的校正。并且,阀的开闭控制和MFC的控制等与第一实施例一样,可用图4所示的计算机等来进行。
如上所述,依据本实施例中的半导体制造装置,由于增加阀302和MFC5(305)来设置校正管线,将MFC5(305)作为检查用MFC,进行对MFC2~4(102~104)的校正,因而,可以在与气体室、CVD等与半导体制造装置连接的状态下进行对MFC的检查。
并且,由于在吹扫和校正以外的通常操作中没有气体流入MFC5(305),与第三实施例相比,检查用MFC(MFC5)的可靠性能够得到提高。
上面详细地说明并描述了本发明,但应当明白,上述内容仅是例示,并不对本发明构成限制,本发明的精神和范围仅由所附的权利要求范围加以规定。权利要求

Claims (14)

1.使用多种气体进行处理的半导体制造装置,其中设有:
所述多种气体流入的气体室;
对应于所述多种气体设置的多个质量流控制器;
测定所述多种气体的流量的质量流计;
控制所述多种气体的流动的多个阀;以及
在所述半导体制造装置工作时控制所述多个阀的开闭,使所述多种气体直接流入所述气体室,并在质量流控制器检查时控制所述多个阀的开闭,使所述多种气体中的任意一种流入所述质量流计的控制部分。
2.如权利要求1所述的半导体制造装置,其特征在于:
所述半导体制造装置包含多个质量流计;
所述控制部分根据检查对象即质量流控制器的流量范围,从所述多个质量流计中选择流量范围最合适的质量流计。
3.如权利要求2所述的半导体制造装置,其特征在于:所述控制部分基于设定在所述检查对象即质量流控制器上设定的流量和转换系数计算气体的实际流量,并从所述多个质量流计中选择流量范围最合适的质量流计。
4.如权利要求1所述的半导体制造装置,其特征在于:所述控制部分按照操作者的指示,选择所述多个质量流控制器中任意的质量流控制器作为检查对象。
5.如权利要求4所述的半导体制造装置,其特征在于:所述控制部分按照操作者设定的质量流控制器的检查范围和检查间隔,检查作为所述检查对象选择的质量流控制器。
6.如权利要求5所述的半导体制造装置,其特征在于:所述控制部分把满量程内的任意值设定在该质量流控制器上,作为所述质量流控制器的检查范围。
7.如权利要求1所述的半导体制造装置,其特征在于:
所述控制部分根据预先确定的基准值判定检查对象即质量流控制器合格与否;
所述半导体制造装置还设有将所述控制部分所判定的“合格与否”加以显示的显示部分。
8.如权利要求1所述的半导体制造装置,其特征在于:
所述半导体制造装置还设有与所述多个质量流控制器中的第一质量流控制器并列配置的、与所述第一质量流控制器同一品种的第二质量流控制器;
所述控制部分在检测出所述第一质量流控制器的异常时,控制所述多个阀的开闭,使所述第二质量流控制器工作。
9.如权利要求1所述的半导体装置,其特征在于:
所述半导体制造装置还设有与外部检查仪器相连接的外部端口;
所述控制部分控制所述多个阀的开闭,使所述多种气体中的任意一种经由所述质量流计和所述外部端口流入所述外部的检查仪器。
10.使用多种气体进行处理的半导体制造装置,其中设有:
所述多种气体流入的气体室;
测定所述气体室内的状态的第一传感器;
与所述第一传感器并列设置的、与所述第一传感器同一品种的第二传感器;
设置在所述气体室和所述第一传感器之间的第一阀;
设置在所述气体室和所述第二传感器之间的第二阀;以及
在检测出所述第一传感器的异常时,控制所述第一阀和所述第二阀的开闭,使所述第二传感器工作的控制部分。
11.使用多种气体进行处理的半导体制造装置,其中设有:
所述多种气体流入的气体室;
对应所述多种气体而设置的多个质量流控制器;
控制所述多种气体的流动的多个阀;以及
在所述半导体制造装置工作时控制所述多个阀的开闭,使所述多种气体直接流入所述气体室,在第一质量流控制器检查时控制所述多个阀的开闭,使第一气体经由第二质量流控制器流入所述第一质量流控制器的控制部分。
12.如权利要求11所述的半导体制造装置,其特征在于:在所述半导体制造装置工作时,所述第一气体流入所述第二质量流控制器。
13.如权利要求11所述的半导体制造装置,其特征在于:通过在所述第二质量流控制器的前后设置阻塞阀,构成所述第一气体经由所述第二质量流控制器流入所述第一质量流控制器的旁路管线。
14.如权利要求11所述的半导体制造装置,其特征在于:在所述半导体制造装置工作时,所述多种气体中的任一种均不流入所述第二质量流控制器。
CNA200310116476A 2002-11-15 2003-11-17 可在连接状态下进行质量流控制器检查的半导体制造装置 Pending CN1501440A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP331840/2002 2002-11-15
JP2002331840 2002-11-15
JP123498/2003 2003-04-28
JP2003123498A JP4502590B2 (ja) 2002-11-15 2003-04-28 半導体製造装置

Publications (1)

Publication Number Publication Date
CN1501440A true CN1501440A (zh) 2004-06-02

Family

ID=32301833

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA200310116476A Pending CN1501440A (zh) 2002-11-15 2003-11-17 可在连接状态下进行质量流控制器检查的半导体制造装置

Country Status (5)

Country Link
US (2) US7108009B2 (zh)
JP (1) JP4502590B2 (zh)
KR (1) KR20040042815A (zh)
CN (1) CN1501440A (zh)
TW (1) TW200411727A (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103502902A (zh) * 2011-05-10 2014-01-08 株式会社富士金 带有流量监测器的压力式流量控制装置、使用该装置的流体供给系统的异常检测方法及监测流量异常时的处置方法
CN105403365A (zh) * 2014-09-10 2016-03-16 岛津Emit株式会社 氦气检漏仪
CN107795546A (zh) * 2016-09-05 2018-03-13 住友电气工业株式会社 玻璃合成用流体供给装置

Families Citing this family (301)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2422829B1 (en) * 2001-08-14 2013-03-06 Applied Medical Resources Corporation Surgical access sealing apparatus
WO2005013803A2 (en) 2003-08-06 2005-02-17 Applied Medical Resources Corporation Surgical device with tack-free gel and method of manufacture
US7354874B2 (en) * 2005-09-23 2008-04-08 International Business Machines Corporation Variable exhaust static pressure management apparatus
CA2625734C (en) 2005-10-14 2013-02-19 Applied Medical Resources Corporation Method of making a hand access laparoscopic device
FR2894165B1 (fr) * 2005-12-01 2008-06-06 Sidel Sas Installation d'alimentation en gaz pour machines de depot d'une couche barriere sur recipients
CN100444310C (zh) * 2005-12-07 2008-12-17 北京北方微电子基地设备工艺研究中心有限责任公司 一种质量流量控制器在线校验的方法
JP2008039513A (ja) 2006-08-03 2008-02-21 Hitachi Metals Ltd 質量流量制御装置の流量制御補正方法
US8074677B2 (en) 2007-02-26 2011-12-13 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
JP5054500B2 (ja) 2007-12-11 2012-10-24 株式会社フジキン 圧力制御式流量基準器
CA2711116C (en) 2008-01-22 2017-08-29 Applied Medical Resources Corporation Surgical instrument access device
CA2739910C (en) 2008-10-13 2017-06-06 Applied Medical Resources Corporation Single port access system
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP2012033150A (ja) 2010-06-30 2012-02-16 Toshiba Corp マスフローコントローラ、マスフローコントローラシステム、基板処理装置およびガス流量調整方法
US20120085434A1 (en) * 2010-10-11 2012-04-12 William Powanda Method and apparatus for flow device
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP5430621B2 (ja) * 2011-08-10 2014-03-05 Ckd株式会社 ガス流量検定システム及びガス流量検定ユニット
JP5433660B2 (ja) * 2011-10-12 2014-03-05 Ckd株式会社 ガス流量監視システム
JP5803552B2 (ja) * 2011-10-14 2015-11-04 東京エレクトロン株式会社 処理装置
JP5809012B2 (ja) * 2011-10-14 2015-11-10 株式会社堀場エステック 流量制御装置、流量測定機構、又は、当該流量測定機構を備えた流量制御装置に用いられる診断装置及び診断用プログラム
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9557744B2 (en) 2012-01-20 2017-01-31 Mks Instruments, Inc. System for and method of monitoring flow through mass flow controllers in real time
US9846074B2 (en) * 2012-01-20 2017-12-19 Mks Instruments, Inc. System for and method of monitoring flow through mass flow controllers in real time
US9471066B2 (en) 2012-01-20 2016-10-18 Mks Instruments, Inc. System for and method of providing pressure insensitive self verifying mass flow controller
JP6037707B2 (ja) * 2012-08-07 2016-12-07 株式会社日立ハイテクノロジーズ プラズマ処理装置及びプラズマ処理装置の診断方法
US9169975B2 (en) * 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US10031005B2 (en) 2012-09-25 2018-07-24 Mks Instruments, Inc. Method and apparatus for self verification of pressure-based mass flow controllers
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP6154677B2 (ja) * 2013-06-28 2017-06-28 東京エレクトロン株式会社 クリーニング方法及び処理装置
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10128087B2 (en) 2014-04-07 2018-11-13 Lam Research Corporation Configuration independent gas delivery system
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10557197B2 (en) * 2014-10-17 2020-02-11 Lam Research Corporation Monolithic gas distribution manifold and various construction techniques and use cases therefor
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10022689B2 (en) 2015-07-24 2018-07-17 Lam Research Corporation Fluid mixing hub for semiconductor processing tool
US10957561B2 (en) * 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US10118263B2 (en) 2015-09-02 2018-11-06 Lam Researech Corporation Monolithic manifold mask and substrate concepts
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US9879795B2 (en) 2016-01-15 2018-01-30 Lam Research Corporation Additively manufactured gas distribution manifold
US10215317B2 (en) 2016-01-15 2019-02-26 Lam Research Corporation Additively manufactured gas distribution manifold
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
CN109891353A (zh) * 2016-09-19 2019-06-14 流体设备系统有限公司 用于流量测量的可变限制
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US20180348034A1 (en) * 2017-05-31 2018-12-06 Air Liquide America Specialty Gases Llc Gas sampling apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
JP6990297B2 (ja) * 2018-03-26 2022-01-12 株式会社Kokusai Electric 部品の診断方法、半導体装置の製造方法、基板処理装置、及びプログラム
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
JP7144213B2 (ja) * 2018-06-27 2022-09-29 アズビル株式会社 マスフローコントローラの診断装置および診断方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
WO2020044427A1 (ja) * 2018-08-28 2020-03-05 株式会社Fuji ガス供給判定方法とプラズマ発生装置
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
DE102019117543A1 (de) 2019-06-28 2020-12-31 Aixtron Se Verfahren zum Kalibrieren/Verifizieren von Massenfluss-Mess/Steuer-Geräten eines Gasmischsystems und Vorrichtung zur Durchführung des Verfahrens
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
CN112198905B (zh) * 2020-09-11 2023-03-10 兰州空间技术物理研究所 一种标准数字接口的气体流量控制方法
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63164432A (ja) * 1986-12-26 1988-07-07 Matsushita Electric Ind Co Ltd ドライエツチング装置
JPH0443922A (ja) * 1990-06-11 1992-02-13 Fujitsu Ltd マスフローコントローラの試験・校正装置
JPH04161241A (ja) * 1990-10-26 1992-06-04 Nec Kyushu Ltd ガス供給装置
US5233861A (en) * 1990-12-03 1993-08-10 Motorola, Inc. Apparatus and method for in situ calibration of a metering device
JPH05108167A (ja) 1991-10-15 1993-04-30 Mitsubishi Electric Corp 制御装置
JPH05197857A (ja) * 1992-01-23 1993-08-06 Matsushita Electric Ind Co Ltd プロセス設備の故障診断装置
JP2982003B2 (ja) * 1992-07-28 1999-11-22 コマツ電子金属株式会社 気相成長装置および気相成長装置におけるマスフローコントローラの校正方法
JPH0653103A (ja) * 1992-08-03 1994-02-25 Hitachi Ltd 半導体製造装置
JP2692770B2 (ja) * 1992-09-30 1997-12-17 シーケーディ株式会社 マスフローコントローラ流量検定システム
JP2500788B2 (ja) 1993-11-11 1996-05-29 日本電気株式会社 マスフロ―コントロ―ラ装置及びその校正方法
JPH07335557A (ja) * 1994-06-13 1995-12-22 Hitachi Ltd 半導体製造装置のガス排気管
JPH08153685A (ja) * 1994-11-25 1996-06-11 Mitsubishi Corp 半導体用特殊材料ガス供給装置
US5810928A (en) * 1994-11-21 1998-09-22 Mitsubishi Corporation Method of measuring gas component concentrations of special material gases for semiconductor, a semiconductor equipment, and an apparatus for supplying special material gases for semiconductor
JPH08241131A (ja) * 1995-03-06 1996-09-17 Sony Corp マスフローテスタ
US5744695A (en) * 1997-01-10 1998-04-28 Sony Corporation Apparatus to check calibration of mass flow controllers
JP3557087B2 (ja) 1998-02-06 2004-08-25 シーケーディ株式会社 マスフローコントローラ流量検定システム
JPH11265218A (ja) * 1998-03-18 1999-09-28 Kokusai Electric Co Ltd 自動流量/流量比変換データ校正装置及びガス供給装置
JP3692047B2 (ja) * 2001-03-19 2005-09-07 株式会社日立製作所 半導体の製造方法及び装置
US6712084B2 (en) * 2002-06-24 2004-03-30 Mks Instruments, Inc. Apparatus and method for pressure fluctuation insensitive mass flow control
US7225085B2 (en) * 2005-02-25 2007-05-29 The Regents Of The University Of California Precision gas flow meter

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103502902A (zh) * 2011-05-10 2014-01-08 株式会社富士金 带有流量监测器的压力式流量控制装置、使用该装置的流体供给系统的异常检测方法及监测流量异常时的处置方法
CN103502902B (zh) * 2011-05-10 2015-12-02 株式会社富士金 带有流量监测器的压力式流量控制装置、使用该装置的流体供给系统的异常检测方法及监测流量异常时的处置方法
CN105403365A (zh) * 2014-09-10 2016-03-16 岛津Emit株式会社 氦气检漏仪
CN107795546A (zh) * 2016-09-05 2018-03-13 住友电气工业株式会社 玻璃合成用流体供给装置
CN107795546B (zh) * 2016-09-05 2020-09-15 住友电气工业株式会社 玻璃合成用流体供给装置

Also Published As

Publication number Publication date
JP2004214591A (ja) 2004-07-29
JP4502590B2 (ja) 2010-07-14
TW200411727A (en) 2004-07-01
US20060283390A1 (en) 2006-12-21
US7108009B2 (en) 2006-09-19
KR20040042815A (ko) 2004-05-20
US20040094206A1 (en) 2004-05-20
US7658204B2 (en) 2010-02-09

Similar Documents

Publication Publication Date Title
CN1501440A (zh) 可在连接状态下进行质量流控制器检查的半导体制造装置
KR102290814B1 (ko) 질량 유량 제어기 검증을 위한 시스템들 및 방법들
US6439253B1 (en) System for and method of monitoring the flow of semiconductor process gases from a gas delivery system
US7137400B2 (en) Bypass loop gas flow calibration
KR101017285B1 (ko) 유량검정시스템 및 유량검정방법
US7195930B2 (en) Cleaning method for use in an apparatus for manufacturing a semiconductor device
JP6037707B2 (ja) プラズマ処理装置及びプラズマ処理装置の診断方法
US20030209322A1 (en) Methods and apparatus for maintaining a pressure within an environmentally controlled chamber
KR101443493B1 (ko) 처리 장치 및 프로세스 상태의 확인 방법
CN1416076A (zh) 生产装置的故障诊断方法和故障诊断系统
CN1407328A (zh) 流体成份浓度测定方法及装置
CN101536159A (zh) 进行实际流量检验的方法
CN101978132A (zh) 对气体流动控制器进行现场测试的方法和设备
US20020157448A1 (en) Flowmeter calibration apparatus
SE407276B (sv) Sett att fylla ett hegtrycksforvaringskerl samt anordning for utforande av settet
CN1739072A (zh) 簇化流体的流量控制方法以及该方法中所使用的簇化流体用流量控制装置
CN1751280A (zh) 半导体制造装置和半导体制造方法
CN109755153A (zh) 监测装置和包括该装置的半导体制造装置
US8813538B2 (en) Methods and apparatus for insitu analysis of gases in electronic device fabrication systems
CN111575681A (zh) 一种板式pecvd设备中单管镀膜效果的检测方法
TWI352886B (zh)
CN111855113A (zh) 退火机台、漏率检测装置及检测方法
CN108231518A (zh) 用于腔室清洁终点的虚拟传感器
KR20060094326A (ko) 압력 측정 장치 및 이의 동작 상태 검사 방법
TWI780625B (zh) 液體供應系統及液量輔助判斷方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication