CN1488009A - 反应器壁上的金刚石涂层及其制造方法 - Google Patents

反应器壁上的金刚石涂层及其制造方法 Download PDF

Info

Publication number
CN1488009A
CN1488009A CNA018215580A CN01821558A CN1488009A CN 1488009 A CN1488009 A CN 1488009A CN A018215580 A CNA018215580 A CN A018215580A CN 01821558 A CN01821558 A CN 01821558A CN 1488009 A CN1488009 A CN 1488009A
Authority
CN
China
Prior art keywords
coating
parts
diamond
diamantiferous
coating process
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA018215580A
Other languages
English (en)
Other versions
CN1284880C (zh
Inventor
R��J���¶�����
R·J·奥多尼尔
J·E·道格尔蒂
C·C·常
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN1488009A publication Critical patent/CN1488009A/zh
Application granted granted Critical
Publication of CN1284880C publication Critical patent/CN1284880C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J19/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J19/0053Details of the reactor
    • B01J19/0073Sealings
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J19/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J19/02Apparatus characterised by being constructed of material selected for its chemically-resistant properties
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • C23C16/27Diamond only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/02Apparatus characterised by their chemically-resistant properties
    • B01J2219/0204Apparatus characterised by their chemically-resistant properties comprising coatings on the surfaces in direct contact with the reactive components
    • B01J2219/0231Apparatus characterised by their chemically-resistant properties comprising coatings on the surfaces in direct contact with the reactive components of diamond
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/02Apparatus characterised by their chemically-resistant properties
    • B01J2219/025Apparatus characterised by their chemically-resistant properties characterised by the construction materials of the reactor vessel proper
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/26Web or sheet containing structurally defined element or component, the element or component having a specified physical dimension
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/30Self-sustaining carbon mass or layer with impregnant or other layer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31678Of metal

Landscapes

  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Carbon And Carbon Compounds (AREA)
  • Physical Vapour Deposition (AREA)
  • Other Surface Treatments For Metallic Materials (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)

Abstract

半导体工艺设备如等离子体腔的一种包括一个含金刚石的表面的抗腐蚀部件,及其制造方法。

Description

反应器壁上的金刚石涂层及其制造方法
发明背景
1.发明领域
本发明涉及半导体工艺设备以及提高这些部件抗腐蚀性的方法。
2.相关技术描述
在半导体工艺领域中,通常使用真空工艺腔来在衬底上进行材料的刻蚀和化学气相沉积(CVD),将刻蚀或者沉积气体供入真空腔中,并对气体施加一种RF场将气体激发到等离子体状态。在专利号为4340462,4948458,5200232和5820723的共同所有的US专利中公开了平行板式变压耦合等离子体(TCPTM),也叫做电感耦合等离子体(ICP),和电子回旋共振(ECR)反应器及其部件的例子。由于这些反应器中等离子体环境的腐蚀性以及极小化颗粒和/或重金属污染的需要,高度希望这些设备的部件呈现出高的抗腐蚀性。
在半导体衬底的处理过程中,衬底一般用衬底夹具例如机械钳和静电钳(ESC)固定在真空腔中。这些钳制系统及其部件的例子可以在专利号为5262029和5838529的共同所有的US专利中找到。处理气体可以通过许多方式供入腔室中,比如通过气体喷嘴,导气环,气体分布板等。在专利号为5863376的共同所有的US专利中可以找到一种用于电感耦合等离子体反应器的温控气体分布板及其部件的例子。除了等离子体腔室设备,在半导体衬底处理过程中使用的其它设备包括传送机构,气体供应系统,衬里,起落机构,加载锁,室门机构,机械臂,紧固件及其它类似的设备。这些设备的部件要承受多种与半导体工艺相关的腐蚀条件。而且,考虑到半导体衬底处理对高纯度的需要,如硅晶片及介电材料如用于平板显示的玻璃衬底,高度希望在这些环境中的部件具有改善了的抗腐蚀性。
通常地,将铝和铝合金用于等离子体反应器的器壁,电极,衬底支撑,紧固件及其它的部件。为了防止这些金属部件的腐蚀,已提出了在铝表面用各种涂层进行涂覆的多种技术。例如,在专利号为5641 375的US专利中公开了进行了阳极化处理的铝腔室壁,减少了等离子体对腔壁的侵蚀和磨损。专利’375指出,阳极化层最终会被溅射掉或刻蚀掉,腔室必须进行更换。专利号为5895586的US专利指出可以在序列号为62-103379的日本中请公开中找到一种技术,来在铝材料上制备Al2O3,AlC,TiN,TiC,AlN或者其它类似的抗侵蚀膜。
专利号为5680013的US专利指出,在专利号为4491496的US专利中公开了一种在刻蚀腔室的金属表面火焰喷镀Al2O3的技术。专利’013指出铝和陶瓷涂层如氧化铝间的热膨胀系数的不同会导致涂层因热循环而开裂,涂层最终在腐蚀环境下失效。为保护腔壁,专利号为5366585,5798016和5885356的US专利提出了衬里配置。例如,专利’016公开了一种因其易加工性而优选的与铝共用的由陶瓷,铝,钢和/或石英组成的衬里,并涂覆一个氧化铝,Sc2O3或者Y2O3涂层来为铝针对等离子体提供保护,优选的是Al2O3。专利’585公开了一种独立式陶瓷衬里,厚度至少为0.005英寸,由固体氧化铝加工而成。专利’585提到通过火焰喷镀或者等离子体喷镀氧化铝,也能提供不用消耗下面的铝即可沉积的陶瓷层。专利’356公开了用于晶片基座的一种氧化铝陶瓷衬里和一种氮化铝陶瓷屏蔽。专利号为5885356的US专利公开了在CVD腔室内可用的陶瓷衬里材料。
对于半导体工艺设备的金属部件已提出了多种涂层。例如,专利号为5879523的US专利公开了一种溅射腔,其中在诸如不锈钢或者铝的金属上应用了一种热喷镀Al2O3涂层,并且二者之间有一个可选的含NiAlx键合的涂层。专利号为5522932和589153的US专利公开了一种用在衬底等离子体处理设备的金属部件上的铑涂层,二者之间有一个可选的镍涂层。专利号为5680013的US专利公开了一种在等离子体工艺腔室中保护金属表面的非键合陶瓷,优选的陶瓷材料是烧结AlN,其次优选的材料包括氧化铝,氟化镁和氧化镁。专利号为5904778的US专利公开了一种在独立式SiC上的SiC CVD涂层,可用作腔室壁,腔室顶或者围绕晶片的套环。
对于等离子体反应器的部件,如莲蓬式喷头气体分布系统,已有针对莲蓬式喷头材料的许多提议。例如,专利号为5569356的共同所有的US专利公开了一种由硅,石墨或者碳化硅制成的莲蓬式喷头。专利号为5494713的US专利指出,可在铝电极上制备一种防蚀钝化铝膜,并在该钝化膜上加覆一个硅涂层膜,如氧化硅或者氮化硅。专利’713指出,由于铝涂层膜,防蚀钝化铝涂层膜和硅涂层膜具有不同的线膨胀系数,硅涂层膜的厚度应为10μm或者以下,优选的为5μm,硅涂层膜太厚时容易产生裂纹。然而,厚度低于5μm,由于对铝衬底的保护不充分,因而是不可取的。专利号为4534516的US专利公开了一种不锈钢,铝,铜及其它类似材料制成的上部莲蓬式喷头电极。专利号为4612077的US专利公开了一种镁制成的莲蓬式喷头电极。专利号为5888907的US专利公开了一种无定形碳,SiC或者Al制成的莲蓬式喷头电极。专利号为5006220和5022979的US专利公开了一种莲蓬式喷头电极,要么完全由SiC制成,要么是将通过CVD沉积的SiC涂覆在碳基体上,提供一个高纯SiC的表面层。
专利号为5952060的US专利描述了碳基涂层的使用,来对衬底处理系统的内部腔室进行保护。碳基涂层是金刚石或者类金刚石的碳涂层,其厚度在大约1μm到50μm之间。专利号为5812362的US专利描述了在静电卡盘上的金刚石膜的使用,其中金刚石膜的厚度在5到50μm之间。这些专利的每一个都公开了极薄的金刚石和类金刚石涂层的选择使用。
其它的专利,比如专利号为5308661,5393572,5846613,5989511和6015597的US专利也公开了金刚石或者类金刚石涂层。这些专利都没有描述这些涂层在等离子体反应器中的使用。
考虑到半导体工艺设备部件对高纯度和抗腐蚀性的需求,在该领域内,对用于这些部件的材料和/或涂层需要进行改善。而且,对于腔室材料来讲,能够提高等离子体反应器腔室的使用寿命,从而减少设备的停机时间的任何材料将有利于降低半导体晶片处理的成本。
发明简述
本发明的第一个方面,提供一种方法,该方法可以在半导体工艺设备部件表面提供一种抗侵蚀的含金刚石或类金刚石的涂层。该方法包括在半导体工艺设备部件表面沉积一种含金刚石或类金刚石的涂层,以形成一个抗侵蚀的外表面。抗侵蚀表面,意味着表面涂层抵抗等离子体腔室气体对涂层的刻蚀时,保护下面的材料免于等离子体腔室气体的腐蚀作用。下面的被涂覆的工艺设备部件的表面可包含一种金属,陶瓷或者聚合物材料,一种优选的材料是阳极化铝。
在一个优选的实施方案中,在半导体工艺设备的表面和含金刚石或类金刚石的涂层之间,可以使用一种或者多种中间金属,陶瓷或者聚合物涂层。用于等离子体腔室的可被涂覆的金属的表面包括阳极化铝或非阳极化铝,不锈钢,难熔金属如钼或其它金属或者合金。可被涂覆的陶瓷的表面包括氧化铝,SiC,AlN,Si3N4,BC或者其它与等离子体相容的陶瓷材料。可被涂覆的聚合物的表面包括含氟聚合物如Teflon,聚酰亚胺如Vespel和其它可在达200℃的温度下用于等离子体腔室的聚合物材料。
本发明的第二个方面,提供一种金属部件。该部件包括:(a)一个金属表面;(b)一个可选的在金属表面上的第一中间涂层;(c)一个可选的在第一中间涂层或者金属表面上的第二中间涂层;及一个在此部件上提供抗腐蚀的外表面的含金刚石或类金刚石的涂层。第一和第二中间涂层的每个可以是金属或其合金,陶瓷,聚合物或用于等离子体腔室反应器的材料的混合物或复合材料。
本发明的另一个方面,提供一种由含金刚石或类金刚石的材料制成的半导体工艺设备部件。该部件可以包括用于该设备的任意的一种或者多种涂层。
附图简述
结合附图对其优选的实施方案进行下面的详述将使本发明的目的和优势变得明显,附图中:
图1是等离子体反应器腔室的剖面示意图,其中含有一个根据本发明涂覆了一种抗腐蚀涂层的部件。
图2是图1中细节A中的抗腐蚀涂层的详细说明图。
本发明的优选实施方案详述
本发明通过利用一种抗侵蚀涂层,为给半导体工艺设备部件如等离子体工艺反应器腔室部件的金属,陶瓷和聚合物表面提供抗腐蚀性提供了一种有效的方法。这些部件包括腔室壁,衬底支撑,气体分布系统包括莲蓬式喷头,折流板,套环,喷嘴等,紧固件,加热元件,等离子体屏,衬里,传输模块部件,例如:机械臂,紧固件,内外腔室壁等,以及其它部件。
尽管本发明适用于任意类型的具有金属,陶瓷或者聚合物表面的部件,为了便于说明,结合参考在专利号为5820723的US专利中描述的设备来对本发明作更详细的描述,这里对整个专利作了参考。
图1示意的是一个真空工艺反应器腔室10,包括衬底支撑70,其为衬底60提供一个静电钳力,当用He背冷时也为衬底提供一个RF偏置。聚焦环72,在衬底上方限定了一个等离子体域。能量源,以在腔室中保持高密度(如1011-1012离子/cm3)的等离子体,例如由适宜的RF源驱动以提供高密度等离子体的天线40,放置在反应器腔室10的顶部。该腔室包括适宜的真空泵浦设备,通过在腔室底部的位于中心的真空接口20将腔室抽空,以使腔室的内部30保持在所希望的压力(例如低于50mTorr,一般的在1-20mTorr)。
在天线40和工艺腔室10的内部之间是一个基本上具有均一厚度的平面介电窗50,其在工艺腔室10的顶部构成真空壁。在窗50下面是一个气体分布板52,其上包含诸如圆孔的开口,以使处理气体从供气处传递到腔室10中。一个锥形的衬里54从气体分布板延伸出来并包围在衬底支撑70之上。
在操作中,将半导体衬底如硅晶片60放置在衬底支撑70上,典型地,当使用He背冷时,用一个静电钳74来固定。然后通过使处理气体穿过窗50和气体分布板52之间的间隙将处理气体供给到真空工艺腔室10中。在专利号为5824605,6048798和5863376的共同所有的US专利中公开了适用的气体分布板配置(如莲蓬式喷头),据此将这些公开资料作为参考。例如,尽管在图1中的窗口和气体分布板配置是平面的并有均一的厚度,对窗口和/或气体分布板而言,可以用非平面的和/或非均一厚度的几何形状。通过向天线40施加适宜的RF源,在衬底和窗口之间的空间里触发高密度的等离子体。
腔室壁28,比如阳极化或非阳极化的铝壁,和金属,陶瓷或者聚合物部件,比如衬底支撑70,紧固件56,衬里54等,暴露在等离子体中并表现出腐蚀征兆,根据本发明,选择将这些部件进行涂层,这样,在等离子体腔室的操作中就不需要把它们罩住。可被涂覆的金属和/或合金的例子包括阳极化或非阳极化铝及其合金,不锈钢,难熔金属如W和Mo及其合金,铜及其合金等。可被涂覆的陶瓷的表面的例子包括氧化铝,SiC,AlN,Si3N4,BC和TiO2。商业可获的可被涂覆的聚合物材料的例子包括含氟聚合物如Teflon,聚酰亚胺如Vespel和其它在达200℃的温度下可用于等离子腔室的聚合物材料。在一个优选的实施方案中,被涂覆的部件是具有一个阳极化或者非阳极化铝的表面29的腔室壁28。根据本发明,涂层允许使用铝合金而不用考虑它的组成(这样除了高纯铝外,还允许使用更经济的铝合金),晶粒结构或者表面条件。在下面的讨论中,被涂覆的部件的例子是一个铝腔室壁28,其具有一个可选的第一中间涂层80,一个可选的第二中间涂层90和一个含金刚石的涂层100,如图2中所示。
为了保证涂覆材料的好的粘附性,优选地,在涂覆之前,将铝衬底28表面彻底净化以除去表面物质,比如氧化物或者油脂。另外,特别希望的是,在应用任意所希望的涂层之前,将衬底表面粗化,阳极化衬底表面并对阳极化的衬底表面再次粗化。
根据本发明,可选地,通过一种传统技术将第一中间涂层80涂覆在铝侧壁28上。这种可选的第一中间涂层80是足够厚的,以粘附在衬底之上并进一步允许在制备可选的第二中间涂层90或者下面要提及的含金刚石的涂层之前对其进行处理。第一中间涂层80可以是任意适用的厚度,比如至少大约0.001英寸的厚度,优选的从大约0.001到大约0.25英寸,更优选的在0.001和0.1英寸之间,最优选的在从0.001英寸到0.05英寸。
在将可选的第一中间涂层80沉积到铝衬底28上之后,镀层可以用任意适用的技术来进行喷砂或者粗化,然后,用可选的第二涂层90或者含金刚石的涂层100来涂覆。粗化层80提供相当好的键合。所希望地,第二中间涂层90给涂层80带来高的机械压缩强度并在涂层90中减少裂缝的形成。
可选的第二中间涂层90是足够厚的,以粘附在第一中间涂层80上,并进一步允许在制备任意附加的中间涂层或者下述的含金刚石的外部涂层100之前对其进行处理。第二中间涂层90可以是任意适用的厚度,比如至少大约0.001英寸的厚度,优选的从大约0.001到大约0.25英寸,更优选的在0.001和0.1英寸之间,最优选的在0.001英寸和0.05英寸之间。
第一和第二中间涂层可以用在传统的等离子体工艺腔室中使用的任意一种或者多种材料制成。这些材料的例子包括金属,陶瓷和聚合物。尤其希望的金属包括任意一种或多种难熔金属,含这些金属的复合材料或合金。尤其希望的陶瓷包括Al2O3,SiC,Si3N4,BC,AlN,TiO2等。尤其希望的聚合物包括含氟聚合物如Teflon,聚酰亚胺如Vespel和其它的在达200℃的温度下可用于等离子体腔室的聚合物材料。预期的中间层的特殊材料还包括含富勒烯的材料;其它含碳的硬质材料如金刚石和类金刚石材料;例如铪,钽,钛和/或硅的碳化物,硼化物,氮化物和/或碳氮化物;碳化硼;氮化硼;碳氮化硼;氧化锆;氧化钇,或者任意上述材料的混合材料。
所希望地,可选的第一和第二中间涂层80和90可以是上述材料的任意一种,这样依赖所预想的性能,涂层可以是相同的或者不同的。也希望可以采用相同或者不同材料的附加中间层如第三,第四或者第五中间层。
含金刚石的涂层100沉积在可选的第二中间层90上,或者可选的第一中间层80上,或者铝衬底28上。含金刚石的涂层的所希望的厚度是至少0.001英寸;优选的从大约0.001到大约0.25英寸,更优选的从大约0.001到大约0.1英寸,最优选的在从0.001到0.05英寸。最希望地,金刚石或者类金刚石涂层的厚度应能为下面的层,尤其是衬底,在暴露于腐蚀性腔室气体的相当长的阶段提供侵蚀和/或腐蚀保护。较希望地,所有涂层的厚度等于或者大于0.001英寸,最希望的厚度在大约0.002到0.010英寸之间。含金刚石或者类金刚石的涂层100的厚度可选择以与在反应器中遇到的等离子体环境(如刻蚀,CVD等)相容。这种含金刚石的涂覆层可以将上述反应器腔室和部件整个涂覆,或者部分涂覆。
含金刚石的涂层,这里意味着一种其中含有金刚石或者类金刚石材料的涂层。类金刚石的材料是那些具有许多但不是全部的金刚石性能的碳基材料。这些材料包括无定形碳,硬质碳和α-碳。优选的类金刚石材料是硬度超过金刚石硬度的50%的非晶碳材料,优选的超过金刚石硬度的70%。所有这些金刚石或者类金刚石涂层,在下文中一并称为含金刚石的涂层。
考虑到所希望的厚度,最希望将含金刚石的涂层与其它保护性材料掺杂或者混合使用,这样,含金刚石的涂层就是金刚石或者类金刚石材料与其它保护性材料的复合材料。这些材料可以包括在传统的等离子体工艺腔室中采用的任意一种或者多种材料。这些材料的例子包括任意一种或多种金属,陶瓷或者聚合物。尤其希望的金属包括任意一种或多种难熔金属,含这些金属的复合材料或合金。尤其希望的陶瓷包括Al2O3,SiC,Si3N4,BC,AlN,TiO2等。尤其希望的聚合物包括含氟聚合物如Teflon,聚酰亚胺如Vespel和其它的在达200℃的温度下可用于等离子体腔室的聚合物材料。相信最希望的材料将包括单独存在的或者与例如铪,钽,钛和/或硅的碳化物,硼化物,氮化物和/或碳氮化物;碳化硼;氮化硼;碳氮化硼;氧化锆;氧化钇,或者上述材料的混合物一同存在的金刚石或者类金刚石材料。
如上所述,本发明的含金刚石的涂层可以与其它的保护性材料掺杂使用。其它保护性材料的掺杂浓度希望小于等于金刚石涂层重量的1%,更希望的在金刚石涂层重量的大约100ppm到1%之间,进一步希望的在金刚石涂层重量的0.001%到0.1%之间。
本发明的含金刚石的涂层也可以是与其它的保护性材料构成的复合材料。该复合材料希望有一个含金刚石的涂层的连续的基体相。其它保护性材料的复合浓度希望大于涂层100重量的1%,更希望的在涂层的大约20%到80%之间,进一步希望的在涂层的大约40%到60%之间。在一些情况下,尤其是成本具有很大重要性的情况下,金刚石或者类金刚石涂层的百分比是非常低的,例如,低于涂层重量的20%,10%,5%和1%。
本发明中的含金刚石的涂层可用任意所知的涂覆技术来沉积到所希望的表面上,如热喷涂,等离子体喷涂,化学气相沉积,升华,激光蒸发,溅射,溅射沉积,离子束涂层,喷敷涂层,浸镀,蒸发,辊涂,毛刷涂层等。还希望将一层或多层含金刚石的涂层与或者不与其它材料的中间层一起用任意适宜的技术沉积到所希望的表面上。
在本发明的一个可选的方面,提供一种由含金刚石的材料制成的半导体工艺设备部件。该部件可以包括传统地用于该设备的任意一种或者多种涂层。
通过使用本发明的含金刚石的涂层或者部件,优选的可获得一种超硬的,抗侵蚀的表面。在该涂层或者部件中,希望不含那些与工艺腔室气体反应的物质而且是化学惰性的,这样就有低的颗粒污染或者没有颗粒污染,轻微的腐蚀或者没有腐蚀,轻微的金属污染或者没有金属污染及/或少量的挥发性的刻蚀产物或者没有挥发性的刻蚀产物。
优选地,含金刚石的涂层或者部件放在那些可以或者不可以暴露在等离子体环境下的区域里,如直接与等离子体接触的部分或者腔室部件后面的部分,如衬里等,以防止在反应器腔室中处理的半导体衬底的金属污染。尤其优选的是要限制或者排除过渡金属的尘埃;比如在周期表中的元素21到29(钪到铜),39到47(钇到银),57到79(镧到金)和所有从89(锕)往后的所知元素中的任意的一种或者多种元素。所以,本发明的一个优势就是通过抑制这些因侵蚀或者腐蚀而产生的尘埃,在沉积膜上减少了所不满意的刻蚀或者不希望的针状气孔的形成。
尽管已结合其具体的实施方案,对本发明进行了详细的描述,对本领域技术人员来讲,明显地,不脱离所附权利要求的范围,可进行多样的变化和改善,并可等价地采用。

Claims (31)

1.一种对半导体工艺设备部件表面进行涂层的方法,该方法包括:
(a)可选地,在半导体工艺设备部件表面沉积一个第一中间涂层;
(b)可选地,在上述第一中间涂层或者上述表面上沉积一个第二中间涂层;并
(c)在上述部件上沉积一个厚度至少为0.001英寸的含金刚石的涂层以形成一个抗侵蚀的外表面。
2.权利要求1中的涂层方法,其中所述部件的所述表面包含一种金属,陶瓷或者聚合物的表面。
3.权利要求2中的涂层方法,其中所述表面是阳极化铝。
4.权利要求1中的涂层方法,其中所述第一中间涂层是必需的。
5.权利要求4中的涂层方法,其中所述第一中间涂层包含一种金属,陶瓷或者聚合物的涂层。
6.权利要求1中的涂层方法,其中所述部件包含等离子体刻蚀腔室的腔室壁。
7.权利要求1中的涂层方法,进一步包含在所述部件上制备一个粗化表面,在该粗化表面上沉积所述含金刚石的涂层。
8.权利要求1中的涂层方法,其中所述含金刚石的涂层包括无定形的四面体碳。
9.权利要求1中的涂层方法,其中所述含金刚石的涂层包含至少一种除了金刚石或者类金刚石材料之外的其它材料。
10.权利要求9中的涂层方法,其中所述其它材料是金属,陶瓷或者聚合物。
11.权利要求10中的涂层方法,其中所述其它材料包括钛或者硅。
12.权利要求11中的涂层方法,其中所述其它材料包括碳化钛,硼化钛,氮化钛,碳化硅,硼化硅,氮化硅或其混合物。
13.权利要求9中的涂层方法,其中所述含金刚石的涂层用所述至少一种金刚石或类金刚石材料之外的其它材料进行掺杂。
14.权利要求9中的涂层方法,其中所述含金刚石的涂层是所述金刚石或类金刚石材料与所述金刚石或类金刚石材料之外的其它材料的复合材料形式。
15.权利要求1中的涂层方法,其中所述含金刚石的涂层通过化学气相沉积,等离子体喷涂,升华,激光蒸发,溅射,溅射沉积,离子束涂层,喷敷涂层,浸镀,蒸发涂层,辊涂或者毛刷涂层进行沉积。
16.一种半导体工艺设备部件,包含:
(a)一个表面;
(b)一个可选的在上述表面上的第一中间涂层;
(c)一个可选的在上述第一中间涂层或者上述表面上的第二中间涂层;及
(d)一个在上述部件上形成抗腐蚀外表面的厚度至少为0.001英寸的含金刚石的涂层。
17.权利要求16中的部件,其中所述表面是一种金属,陶瓷或者聚合物的表面。
18.权利要求17中的部件,其中所述表面是阳极化铝。
19.权利要求16中的部件,其中所述第一中间涂层是必需的。
20.权利要求16中的部件,其中所述部件包含等离子体刻蚀腔室的腔室壁。
21.权利要求12中的部件,其中所述含金刚石的涂层包括无定形的四面体碳。
22.权利要求16中的部件,其中所述含金刚石的涂层包含至少一种金刚石或类金刚石材料之外的其它材料。
23.权利要求22中的部件,其中所述其它材料是金属,陶瓷或者聚合物。
24.权利要求23中的部件,其中所述其它材料包括钛或者硅。
25.权利要求24中的部件,其中所述其它材料包括碳化钛,硼化钛,氮化钛,碳化硅,硼化硅,氮化硅或其混合物。
26.权利要求22中的部件,其中所述含金刚石的涂层用所述至少一种金刚石或类金刚石材料之外的其它材料进行掺杂。
27.权利要求22中的部件,其中所述含金刚石的涂层是所述金刚石或类金刚石材料与所述金刚石或类金刚石材料之外的其它材料的复合材料形式。
28.权利要求16中的部件,进一步包含一个或多个附加的含金刚石的涂层或者中间涂层。
29.权利要求16中的部件,其中金刚石或类金刚石材料在所述含金刚石的涂层内形成连续的基体相。
30.一种半导体工艺设备部件,在该设备中至少有一个表面暴露在等离子体中,该部件包括在该设备中由含金刚石的材料制成的暴露在等离子体中的厚度至少为0.001英寸的表面。
31.权利要求30中的部件,其中所述整个部件由所述含金刚石的材料制成。
CNB018215580A 2000-12-29 2001-11-21 反应器壁上的金刚石涂层及其制造方法 Expired - Fee Related CN1284880C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/749,925 US6537429B2 (en) 2000-12-29 2000-12-29 Diamond coatings on reactor wall and method of manufacturing thereof
US09/749,925 2000-12-29

Publications (2)

Publication Number Publication Date
CN1488009A true CN1488009A (zh) 2004-04-07
CN1284880C CN1284880C (zh) 2006-11-15

Family

ID=25015793

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB018215580A Expired - Fee Related CN1284880C (zh) 2000-12-29 2001-11-21 反应器壁上的金刚石涂层及其制造方法

Country Status (10)

Country Link
US (1) US6537429B2 (zh)
EP (1) EP1358363B1 (zh)
JP (1) JP4358509B2 (zh)
KR (1) KR100853972B1 (zh)
CN (1) CN1284880C (zh)
AT (1) ATE401430T1 (zh)
AU (1) AU2002228604A1 (zh)
DE (1) DE60134896D1 (zh)
TW (1) TWI267563B (zh)
WO (1) WO2002054454A2 (zh)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104204271A (zh) * 2011-09-16 2014-12-10 皮科德昂有限公司 涂镀材料
CN107267952A (zh) * 2017-05-05 2017-10-20 宁波工程学院 一种化学气相沉积镀制金刚石膜的方法
CN113506719A (zh) * 2016-03-03 2021-10-15 朗姆研究公司 包括具有高纯sp3键的cvd金刚石涂层的边缘环之类的部件
CN114686848A (zh) * 2020-12-29 2022-07-01 中微半导体设备(上海)股份有限公司 半导体零部件、半导体处理装置及形成耐腐蚀涂层的方法
CN115819118A (zh) * 2022-11-25 2023-03-21 湖南柯盛新材料有限公司 抗氧化涂层和含有抗氧化涂层的石墨模具及其制备方法
CN113506719B (zh) * 2016-03-03 2024-06-04 朗姆研究公司 包括具有高纯sp3键的cvd金刚石涂层的部件

Families Citing this family (382)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3555844B2 (ja) * 1999-04-09 2004-08-18 三宅 正二郎 摺動部材およびその製造方法
KR20010062209A (ko) * 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
US6620520B2 (en) * 2000-12-29 2003-09-16 Lam Research Corporation Zirconia toughened ceramic components and coatings in semiconductor processing equipment and method of manufacture thereof
US6613442B2 (en) * 2000-12-29 2003-09-02 Lam Research Corporation Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof
JP2003231203A (ja) * 2001-08-21 2003-08-19 Toshiba Corp 炭素膜被覆部材
DE10163171A1 (de) * 2001-12-21 2003-07-03 Solvay Fluor & Derivate Neue Verwendung für Legierungen
KR100460143B1 (ko) * 2002-08-02 2004-12-03 삼성전자주식회사 반도체 제조설비용 프로세스 챔버
US7147749B2 (en) * 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US7166200B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US7137353B2 (en) * 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US7204912B2 (en) 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US7166166B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US6837966B2 (en) * 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US6798519B2 (en) 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US6969198B2 (en) * 2002-11-06 2005-11-29 Nissan Motor Co., Ltd. Low-friction sliding mechanism
US7780786B2 (en) 2002-11-28 2010-08-24 Tokyo Electron Limited Internal member of a plasma processing vessel
US7866343B2 (en) 2002-12-18 2011-01-11 Masco Corporation Of Indiana Faucet
US7866342B2 (en) 2002-12-18 2011-01-11 Vapor Technologies, Inc. Valve component for faucet
US8555921B2 (en) 2002-12-18 2013-10-15 Vapor Technologies Inc. Faucet component with coating
US8220489B2 (en) 2002-12-18 2012-07-17 Vapor Technologies Inc. Faucet with wear-resistant valve component
US20040134427A1 (en) * 2003-01-09 2004-07-15 Derderian Garo J. Deposition chamber surface enhancement and resulting deposition chambers
KR20050094436A (ko) * 2003-01-27 2005-09-27 동경 엘렉트론 주식회사 패스닝 하드웨어를 개선하기 위한 방법 및 장치
WO2004095532A2 (en) * 2003-03-31 2004-11-04 Tokyo Electron Limited A barrier layer for a processing element and a method of forming the same
JP3891433B2 (ja) * 2003-04-15 2007-03-14 日産自動車株式会社 燃料噴射弁
EP1479946B1 (en) * 2003-05-23 2012-12-19 Nissan Motor Co., Ltd. Piston for internal combustion engine
EP1482190B1 (en) * 2003-05-27 2012-12-05 Nissan Motor Company Limited Rolling element
JP2005008851A (ja) * 2003-05-29 2005-01-13 Nissan Motor Co Ltd 硬質炭素薄膜付き機械加工工具用切削油及び硬質炭素薄膜付き機械加工工具
JP4863152B2 (ja) * 2003-07-31 2012-01-25 日産自動車株式会社 歯車
WO2005014761A2 (ja) * 2003-08-06 2005-02-17 Nissan Motor Co., Ltd. 低摩擦摺動機構、低摩擦剤組成物及び摩擦低減方法
JP2005054617A (ja) * 2003-08-08 2005-03-03 Nissan Motor Co Ltd 動弁機構
JP4973971B2 (ja) * 2003-08-08 2012-07-11 日産自動車株式会社 摺動部材
JP4117553B2 (ja) * 2003-08-13 2008-07-16 日産自動車株式会社 チェーン駆動装置
US7771821B2 (en) * 2003-08-21 2010-08-10 Nissan Motor Co., Ltd. Low-friction sliding member and low-friction sliding mechanism using same
EP1508611B1 (en) 2003-08-22 2019-04-17 Nissan Motor Co., Ltd. Transmission comprising low-friction sliding members and transmission oil therefor
US7824498B2 (en) * 2004-02-24 2010-11-02 Applied Materials, Inc. Coating for reducing contamination of substrates during processing
US7119032B2 (en) * 2004-08-23 2006-10-10 Air Products And Chemicals, Inc. Method to protect internal components of semiconductor processing equipment using layered superlattice materials
US7552521B2 (en) * 2004-12-08 2009-06-30 Tokyo Electron Limited Method and apparatus for improved baffle plate
US7601242B2 (en) * 2005-01-11 2009-10-13 Tokyo Electron Limited Plasma processing system and baffle assembly for use in plasma processing system
US20060225654A1 (en) * 2005-03-29 2006-10-12 Fink Steven T Disposable plasma reactor materials and methods
US20060280946A1 (en) * 2005-05-20 2006-12-14 United Technologies Corporation Metal-containing diamond-like-carbon coating compositions
CN1870863B (zh) * 2005-05-28 2011-06-08 鸿富锦精密工业(深圳)有限公司 便携式电子装置外壳及其制作方法
JP4817102B2 (ja) * 2005-10-03 2011-11-16 麒麟麦酒株式会社 ダイヤモンド状炭素薄膜、それを表面に成膜したプラスチックフィルム及びガスバリア性プラスチックボトル
KR100757347B1 (ko) * 2006-08-30 2007-09-10 삼성전자주식회사 이온 주입 장치
US20080169183A1 (en) * 2007-01-16 2008-07-17 Varian Semiconductor Equipment Associates, Inc. Plasma Source with Liner for Reducing Metal Contamination
KR100773486B1 (ko) * 2007-05-23 2007-11-05 주식회사 넥스텍 표면이 코팅된 폴리쉬 캐리어 및 이의 코팅 방법
US8187414B2 (en) * 2007-10-12 2012-05-29 Lam Research Corporation Anchoring inserts, electrode assemblies, and plasma processing chambers
JP2009123795A (ja) * 2007-11-13 2009-06-04 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
ES2736731T3 (es) 2008-07-18 2020-01-07 Suneeta Neogi Método para producir recubrimientos de diamante nanocristalino sobre piedras preciosas
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110076400A1 (en) * 2009-09-30 2011-03-31 Applied Materials, Inc. Nanocrystalline diamond-structured carbon coating of silicon carbide
DE102009044876A1 (de) 2009-12-14 2011-06-16 Elmar Hans Schmidbauer Gmbh & Co. Kg Verwendung von Funktionselementen mit Diamantbeschichtung auf Zwischenschichten auf Stahlsubstraten als Funktions- elemente mit Schutzbeschichtung gegen Kavitationserosion
CN102534611A (zh) * 2010-12-27 2012-07-04 鸿富锦精密工业(深圳)有限公司 壳体及其制造方法
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
CN102456568A (zh) * 2011-09-29 2012-05-16 上海华力微电子有限公司 一种淀积掺氮碳化硅薄膜的方法
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US20140099794A1 (en) * 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
EP3056069A4 (en) * 2013-10-07 2017-06-21 Aeonclad Coatings, LLC Low-cost plasma reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
CN105986245A (zh) * 2015-02-16 2016-10-05 中微半导体设备(上海)有限公司 改善mocvd反应工艺的部件及改善方法
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US20160362782A1 (en) * 2015-06-15 2016-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gas dispenser and deposition apparatus using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
JP6400227B2 (ja) 2016-04-05 2018-10-03 関東電化工業株式会社 半導体製造装置のクリーニング方法
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6851217B2 (ja) * 2017-02-16 2021-03-31 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US20190119815A1 (en) * 2017-10-24 2019-04-25 Applied Materials, Inc. Systems and processes for plasma filtering
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
KR102159224B1 (ko) * 2018-07-17 2020-09-23 주식회사 마스터 포커스 링, 그 제조 방법, 및 기판 처리 장치
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
WO2021154474A1 (en) * 2020-01-30 2021-08-05 Exxonmobil Chemical Patents Inc. Autoclave reactor system comprising an agitator with polycrystalline diamond bearings
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4340462A (en) 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
FR2538987A1 (fr) 1983-01-05 1984-07-06 Commissariat Energie Atomique Enceinte pour le traitement et notamment la gravure de substrats par la methode du plasma reactif
US4534816A (en) 1984-06-22 1985-08-13 International Business Machines Corporation Single wafer plasma etch reactor
US4612077A (en) 1985-07-29 1986-09-16 The Perkin-Elmer Corporation Electrode for plasma etching system
JPS62103379A (ja) 1985-10-29 1987-05-13 Showa Alum Corp Cvd装置およびドライ・エツチング装置における真空チヤンバの製造方法
JPS6413404A (en) * 1987-07-07 1989-01-18 Sumitomo Electric Industries Opening and closing state detection sensor
JPH0741153Y2 (ja) 1987-10-26 1995-09-20 東京応化工業株式会社 試料処理用電極
US5262029A (en) 1988-05-23 1993-11-16 Lam Research Method and system for clamping semiconductor wafers
US4948458A (en) 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
JPH0656842B2 (ja) 1990-04-30 1994-07-27 インターナシヨナル・ビジネス・マシーンズ・コーポレーシヨン 導電性ダイヤモンド被覆表面を有するプラズマ反応チャンバ
GB2243577A (en) 1990-05-07 1991-11-06 Compeq Manufacturing Co Limite A method of bonding copper and resin
US5268200A (en) * 1990-05-21 1993-12-07 Applied Materials, Inc. Method of forming plasma etch apparatus with conductive coating on inner metal surfaces of chamber to provide protection from chemical corrosion
US5200232A (en) 1990-12-11 1993-04-06 Lam Research Corporation Reaction chamber design and method to minimize particle generation in chemical vapor deposition reactors
US5989511A (en) 1991-11-25 1999-11-23 The University Of Chicago Smooth diamond films as low friction, long wear surfaces
DE4300223A1 (de) 1993-01-07 1994-07-14 Hora Heinrich Erosionsfeste Überzüge an Innenwänden von Plasmabehältern
US5366585A (en) 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
US5308661A (en) 1993-03-03 1994-05-03 The Regents Of The University Of California Pretreatment process for forming a smooth surface diamond film on a carbon-coated substrate
US5522932A (en) 1993-05-14 1996-06-04 Applied Materials, Inc. Corrosion-resistant apparatus
US5891253A (en) 1993-05-14 1999-04-06 Applied Materials, Inc. Corrosion resistant apparatus
JP3308091B2 (ja) 1994-02-03 2002-07-29 東京エレクトロン株式会社 表面処理方法およびプラズマ処理装置
US5798016A (en) 1994-03-08 1998-08-25 International Business Machines Corporation Apparatus for hot wall reactive ion etching using a dielectric or metallic liner with temperature control to achieve process stability
US5680013A (en) 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
KR100331053B1 (ko) 1994-05-17 2002-06-20 가나이 쓰도무 플라즈마처리장치및플라즈마처리방법
US5393572A (en) 1994-07-11 1995-02-28 Southwest Research Institute Ion beam assisted method of producing a diamond like carbon coating
US5641375A (en) 1994-08-15 1997-06-24 Applied Materials, Inc. Plasma etching reactor with surface protection means against erosion of walls
FR2726579A1 (fr) 1994-11-07 1996-05-10 Neuville Stephane Procede de depot d'un revetement protecteur de type pseudo carbonne diamant amorphe
US5885356A (en) 1994-11-30 1999-03-23 Applied Materials, Inc. Method of reducing residue accumulation in CVD chamber using ceramic lining
US5569356A (en) 1995-05-19 1996-10-29 Lam Research Corporation Electrode clamping assembly and method for assembly and use thereof
US5824605A (en) 1995-07-31 1998-10-20 Lam Research Corporation Gas dispersion window for plasma apparatus and method of use thereof
US5838529A (en) 1995-12-22 1998-11-17 Lam Research Corporation Low voltage electrostatic clamp for substrates such as dielectric substrates
JP3360265B2 (ja) 1996-04-26 2002-12-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US5820723A (en) 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US6048798A (en) 1996-06-05 2000-04-11 Lam Research Corporation Apparatus for reducing process drift in inductive coupled plasma etching such as oxide layer
US5863376A (en) 1996-06-05 1999-01-26 Lam Research Corporation Temperature controlling method and apparatus for a plasma processing chamber
US5812362A (en) 1996-06-14 1998-09-22 Applied Materials, Inc. Method and apparatus for the use of diamond films as dielectric coatings on electrostatic chucks
US5952060A (en) 1996-06-14 1999-09-14 Applied Materials, Inc. Use of carbon-based films in extending the lifetime of substrate processing system components
US5904778A (en) 1996-07-26 1999-05-18 Applied Materials, Inc. Silicon carbide composite article particularly useful for plasma reactors
US5879523A (en) 1997-09-29 1999-03-09 Applied Materials, Inc. Ceramic coated metallic insulator particularly useful in a plasma sputter reactor
US6015597A (en) 1997-11-26 2000-01-18 3M Innovative Properties Company Method for coating diamond-like networks onto particles
US5916370A (en) 1998-06-12 1999-06-29 Applied Materials, Inc. Semiconductor processing chamber having diamond coated components
JP2000012523A (ja) * 1998-06-22 2000-01-14 Fujitsu Ltd 半導体装置の製造方法
US6508911B1 (en) 1999-08-16 2003-01-21 Applied Materials Inc. Diamond coated parts in a plasma reactor

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104204271A (zh) * 2011-09-16 2014-12-10 皮科德昂有限公司 涂镀材料
CN113506719A (zh) * 2016-03-03 2021-10-15 朗姆研究公司 包括具有高纯sp3键的cvd金刚石涂层的边缘环之类的部件
CN113506719B (zh) * 2016-03-03 2024-06-04 朗姆研究公司 包括具有高纯sp3键的cvd金刚石涂层的部件
CN107267952A (zh) * 2017-05-05 2017-10-20 宁波工程学院 一种化学气相沉积镀制金刚石膜的方法
CN107267952B (zh) * 2017-05-05 2023-05-23 宁波工程学院 一种化学气相沉积镀制金刚石膜的方法
CN114686848A (zh) * 2020-12-29 2022-07-01 中微半导体设备(上海)股份有限公司 半导体零部件、半导体处理装置及形成耐腐蚀涂层的方法
CN114686848B (zh) * 2020-12-29 2024-05-14 中微半导体设备(上海)股份有限公司 半导体零部件、半导体处理装置及形成耐腐蚀涂层的方法
CN115819118A (zh) * 2022-11-25 2023-03-21 湖南柯盛新材料有限公司 抗氧化涂层和含有抗氧化涂层的石墨模具及其制备方法
CN115819118B (zh) * 2022-11-25 2023-09-22 湖南柯盛新材料有限公司 抗氧化涂层和含有抗氧化涂层的石墨模具及其制备方法

Also Published As

Publication number Publication date
US20020086501A1 (en) 2002-07-04
KR100853972B1 (ko) 2008-08-25
WO2002054454A3 (en) 2002-09-12
WO2002054454A2 (en) 2002-07-11
EP1358363A2 (en) 2003-11-05
EP1358363B1 (en) 2008-07-16
KR20030063475A (ko) 2003-07-28
ATE401430T1 (de) 2008-08-15
JP2004526054A (ja) 2004-08-26
JP4358509B2 (ja) 2009-11-04
CN1284880C (zh) 2006-11-15
AU2002228604A1 (en) 2002-07-16
TWI267563B (en) 2006-12-01
DE60134896D1 (de) 2008-08-28
US6537429B2 (en) 2003-03-25

Similar Documents

Publication Publication Date Title
CN1284880C (zh) 反应器壁上的金刚石涂层及其制造方法
CN1269989C (zh) 半导体工艺设备的碳氮化物涂层部件及其制造方法
CN1484712B (zh) 半导体工艺设备的氮化硼/氧化钇复合材料部件及其制造方法
CN1273640C (zh) 半导体工艺设备的富勒烯涂层部件及涂层方法
CN1260770C (zh) 在半导体加工设备中的氧化锆增韧陶瓷组件和涂层及其制造方法
CN1300374C (zh) 半导体工艺设备中的含铈氧化物的陶瓷部件与涂层

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20061115

Termination date: 20141121

EXPY Termination of patent right or utility model