KR20030063475A - 반응기 벽체 상의 다이아몬드 코팅막 및 그 제조방법 - Google Patents

반응기 벽체 상의 다이아몬드 코팅막 및 그 제조방법 Download PDF

Info

Publication number
KR20030063475A
KR20030063475A KR10-2003-7008678A KR20037008678A KR20030063475A KR 20030063475 A KR20030063475 A KR 20030063475A KR 20037008678 A KR20037008678 A KR 20037008678A KR 20030063475 A KR20030063475 A KR 20030063475A
Authority
KR
South Korea
Prior art keywords
diamond
coating
component
coating film
pseudo
Prior art date
Application number
KR10-2003-7008678A
Other languages
English (en)
Other versions
KR100853972B1 (ko
Inventor
오도넬로버트제이.
도어티존이.
창크리스토퍼씨.
Original Assignee
램 리서치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리서치 코포레이션 filed Critical 램 리서치 코포레이션
Publication of KR20030063475A publication Critical patent/KR20030063475A/ko
Application granted granted Critical
Publication of KR100853972B1 publication Critical patent/KR100853972B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J19/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J19/0053Details of the reactor
    • B01J19/0073Sealings
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J19/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J19/02Apparatus characterised by being constructed of material selected for its chemically-resistant properties
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • C23C16/27Diamond only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/02Apparatus characterised by their chemically-resistant properties
    • B01J2219/0204Apparatus characterised by their chemically-resistant properties comprising coatings on the surfaces in direct contact with the reactive components
    • B01J2219/0231Apparatus characterised by their chemically-resistant properties comprising coatings on the surfaces in direct contact with the reactive components of diamond
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/02Apparatus characterised by their chemically-resistant properties
    • B01J2219/025Apparatus characterised by their chemically-resistant properties characterised by the construction materials of the reactor vessel proper
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/26Web or sheet containing structurally defined element or component, the element or component having a specified physical dimension
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/30Self-sustaining carbon mass or layer with impregnant or other layer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31678Of metal

Landscapes

  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Physical Vapour Deposition (AREA)
  • Other Surface Treatments For Metallic Materials (AREA)
  • Carbon And Carbon Compounds (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)

Abstract

플라즈마 챔버와 같은 반도체 공정 설비에서 다이아몬드 함유 표면을 포함한 부식 방지 부품과 그 제조방법을 제공한다. 상기 설비는 플라즈마 식각 챔버일 수 있다.

Description

반응기 벽체 상의 다이아몬드 코팅막 및 그 제조방법{Diamond coatings on reactor wall and method of manufacturing thereof}
반도체 공정 분야에서, 진공 공정 챔버들이 기판 상 물질의 식각 및 화학기상증착(CVD)을 위해 일반적으로 사용되고 있으며, 이는 진공 챔버로 식각가스 또는 증착가스를 공급하고 이 가스를 플라즈마 상태로 활성화시키기 위해 가스에 RF 필드를 적용함으로써 수행된다. 평행판, 유도 결합 플라즈마(ICP)라고도 불리는 트랜스포머 결합 플라즈마(TCPTM) 및 전자-사이클로트론 공명(ECR) 반응기들과 그 부품들이 공동소유인 미국 특허 제4,340,462호, 제4,948,458호, 제5,200,232호 및 제5,820,723호에 개시되어 있다. 이러한 반응기들 내에서 플라즈마 환경이 갖는 부식적인 특성과 파티클 및/또는 중금속 오염의 최소화라는 요구로 인하여, 이러한 설비의 부품들이 높은 부식 저항성을 갖는 것이 매우 바람직하다.
반도체 기판들을 처리하는 동안에 기판들은 전형적으로 진공 챔버 내에서 기계적인 클램프 및 정전기적 클램프(ESC)에 의해 기판 홀더 상에 위치한다. 이러한클램핑 시스템들 및 그 부품들의 예를 공동소유인 미국 특허 제5,262,029호 및 제5,838,529호에서 찾을 수 있다. 공정가스는 가스 노즐, 가스 링, 가스 분산판 등과 같은 여러가지 방식에 의해 챔버 내로 공급될 수 있다. 유도 결합 플라즈마 반응기 및 그 부품들을 위한 온도 제어된 가스 분산판의 예는 공동소유인 미국 특허 제5,863,376호에 나와 있다. 플라즈마 챔버 설비뿐만 아니라, 반도체 기판들을 처리하는 데에 이용되는 다른 설비는 이송 메커니즘, 가스 공급 시스템, 라이너(liner), 리프트 메커니즘(lift mechanism), 로드락(load lock), 도어 메커니즘(door mechanism), 로봇암(robotic arm), 조임쇄(fastener)와 같은 것을 포함한다. 이러한 설비의 부품들은 반도체 공정과 관련된 다양한 부식성 조건에 처해진다. 나아가, 실리콘 웨이퍼와 같은 반도체 기판 그리고 평판 디스플레이(flat panel display)에 사용되는 유리 기판과 같은 유전체를 처리하기 위한 높은 청정 요건(high purity requirement)의 관점에서, 개선된 부식 저항성을 가지는 부품들이 이러한 환경에서 요구되고 있다.
알루미늄 및 알루미늄 합금이 플라즈마 반응기들의 벽체, 전극, 기판 지지대, 조임쇄 및 다른 부품들로써 주로 사용되고 있다. 이러한 금속 부품들의 부식을 방지하기 위하여, 여러가지 코팅막으로 알루미늄 표면을 코팅하기 위한 다양한 기술들이 제안되어 왔다. 예를 들어, 미국 특허 제5,641,375호는 벽체에 대한 플라즈마 침식 및 마모를 줄이기 위하여 알루미늄 챔버 벽체를 양극산화(anodizing)하는 것을 개시하고 있다. 상기 '375호 특허에서는 결국에는 양극산화된 층이 스퍼터되거나 식각되어 제거되므로 챔버를 교체해야 한다고 언급하고 있다. 미국 특허 제5,895,586호는 알루미늄 물질 상에 Al2O3, AlC, TiN, TiC, AlN 등의 부식 저항성 막을 형성하는 기술을 일본 특허 공개 번호 제62-103379호에서 찾아볼 수 있다고 언급하고 있다.
미국 특허 제5,680,013호는 식각 챔버의 금속 표면 상에 Al2O3를 화염 분무하는 기술이 미국 특허 제4,491,496호에 개시되어 있다고 언급하고 있다. 상기 '013호 특허는 알루미늄과, 알루미늄 산화막과 같은 세라믹 코팅막 사이의 열팽창계수의 차이는 열사이클에 기인한 코팅막의 크랙 및 부식 환경 안에서 코팅막의 긍극적인 파괴를 유발한다고 언급하고 있다. 챔버 벽체를 보호하기 위하여, 미국 특허 제5,366,585호, 제5,798,016호 및 제5,885,356호는 라이너 설비를 제안하고 있다. 예를 들어, 상기 '016 특허는 세라믹, 알루미늄, 스틸 및/또는 석영으로 이루어지고 알루미늄 산화물, Sc2O3또는 Y2O3로 된 코팅막을 갖고 있는 라이너를 개시하고 있다. 이 중에서는 기계가공성이 용이하다는 이유로 알루미늄이 선호되고, 플라즈마로부터 알루미늄을 보호하기 위한 알루미늄 코팅재로서 Al2O3가 선호된다. 상기 '585 특허는 고체 알루미나로부터 제작되고 적어도 0.005 인치의 두께를 가지며 자유 기립하는 세라믹 라이너를 개시하고 있다. 상기 '585 특허는 또한 하부 알루미늄을 소모함이 없이 증착된 세라믹층의 사용은 화염 분무 또는 플라즈마 분무된 알루미늄 산화물에 의해 제공될 수 있음을 언급하고 있다. 상기 '356 특허는 웨이퍼 페디스탈(pedestal)을 위해 알루미나로 된 세라믹 라이너 및 알루미늄 나이트라이드로 된 세라믹 쉴드(shield)를 개시하고 있다. 미국 특허 제5,885,356호는 CVD챔버 내에서 사용하기 위한 세라믹 라이너 물질을 개시한다.
다양한 코팅이 반도체 공정 설비의 금속 부품들을 위해 제안되고 있다. 예를 들면, 미국 특허 제5,879,523호는 열 분무 Al2O3코팅막이 스테인리스 스틸 또는 알루미늄과 같은 금속에 적용되고 그 사이에는 선택적 NiAlx본드 코팅막을 갖는 스퍼터링 챔버에 대하여 개시하고 있다. 미국 특허 제5,522,932호 및 제5,891,53호는 기판의 플라즈마 처리에 사용되는 설비의 금속 부품과의 사이에 선택적 니켈 코팅막을 갖게 형성되는 로듐 코팅막에 관하여 개시하고 있다. 미국 특허 제5,680,013호는 플라즈마 공정 챔버 내의 금속 표면용 비접합(non-bonded) 세라믹 보호층에 관하여 개시하고 있으며, 바람직한 세라믹 물질은 AlN 소결체이고, 덜 바람직한 물질은 알루미늄 산화물, 마그네슘 플루오라이드(fluoride) 및 마그네슘 산화물을 포함한다고 하고 있다. 미국 특허 제5,904,778호는 챔버 벽체, 챔버 뚜껑(roof), 또는 웨이퍼 주변의 칼러(collar)로 사용하기 위한 자유 기립하는 SiC 상의 SiC CVD 코팅막을 개시하고 있다.
샤워헤드 가스 분산 시스템들과 같은 플라즈마 반응기 부품들과 관련하여 샤워헤드의 물질에 관한 다양한 제안들이 이루어져 왔다. 예를 들어, 공동소유인 미국 특허 제5,569,356호는 실리콘, 흑연 또는 실리콘 카바이드로 된 샤워헤드를 개시하고 있다. 미국 특허 제5,494,713호는 알루미늄 전극 상에 알루마이트(alumite)막을 형성하고 상기 알루마이트막 상에 실리콘 산화막 또는 실리콘 나이트라이드와 같은 실리콘 코팅막을 형성하는 것에 관하여 개시하고 있다.상기 '713 특허는 알루미늄 코팅막, 알루마이트 코팅막 및 실리콘 코팅막이 서로 다른 선형 팽창 계수를 가지며, 실리콘 코팅막의 두께가 너무 두꺼우면 크랙이 쉽게 발생하기 때문에, 실리콘 코팅막의 두께가 10㎛ 이하이어야 하고, 바람직하게는 약 5㎛라고 하고 있다. 그러나, 5㎛ 미만의 두께는 알루미늄 기판의 보호가 충분하지 않기 때문에 바람직하지 않다고 하고 있다. 미국 특허 제4,534,516호는 스테인리스 스틸, 알루미늄, 구리 등으로 이루어진 상부 샤워헤드 전극에 관하여 개시하고 있다. 미국 특허 제4,612,077호는 마그네슘으로 이루어진 샤워헤드 전극에 관하여 개시하고 있다. 미국 특허 제5,888,907호는 비정질 탄소, SiC 또는 Al으로 된 샤워헤드 전극을 개시하고 있다. 미국 특허 제5,006,220호와 제5,022,979호는 고순도 SiC의 표면층을 제공하기 위해 전체가 SiC로 제작되거나, CVD로 증착된 SiC가 코팅된 탄소 베이스로 제작된 샤워헤드 전극을 개시하고 있다.
미국 특허 제5,952,060호는 기판 처리 시스템의 내부 챔버를 보호하기 위해 탄소계 코팅막을 사용하는 것에 관하여 기술하고 있다. 탄소계 코팅막은 약 1㎛에서 50㎛ 사이의 두께를 가진 다이아몬드 또는 유사-다이아몬드 카본 코팅막이다. 미국 특허 제5,812,362호는 정전척 상에 다이아몬드막을 사용하는 것에 관해 설명하는데, 여기서 다이아몬드막은 5와 50㎛ 사이의 두께를 가진다. 이러한 특허들은 극도로 얇은 다이아몬드 또는 유사-다이아몬드 코팅막의 제한적인 사용을 개시하고 있다.
미국 특허 제5,308,661호, 제5,393,572호, 제5,846,613호, 제5,989,511호 및 제6,015,597호와 같은 다른 특허들도 다이아몬드 또는 유사-다이아몬드 코팅막을개시하고 있다. 이러한 특허들 중 그 어떤 것도 이러한 코팅막을 플라즈마 반응기 안에서 사용하는 것에 대해서는 설명하고 있지 않다.
반도체 공정 설비의 부품들에 관한 고순도 및 부식 저항성 요구의 견지에서, 이러한 부품들을 위해 사용되는 물질 및/또는 코팅물의 개선이 관련 업계에서 요구되고 있다. 더구나, 챔버 물질과 관련하여 플라즈마 반응 챔버의 서비스 수명을 증가시키고, 따라서 장치의 휴지시간(down time)을 감소시킬 수 있는 재질들이 반도체 웨이퍼에 대한 공정 비용을 저감시키는 데 있어서 이득이 된다.
본 발명은 반도체 공정 설비와 그 부품들의 부식 저항성을 개선하는 방법에 관한 것이다.
본 발명의 목적 및 장점은 다음의 도면과 관련하여 후술하는 바람직한 실시예의 상세한 설명으로부터 더욱 분명해질 것이다.
도 1은 본 발명에 따라 부식 방지 코팅막으로 코팅된 부품을 가지는 플라즈마 반응 챔버의 개략적인 단면도이다.
도 2는 도 1의 A 부분에 있는 부식 방지 코팅막을 상세하게 도시한 도면이다.
본 발명의 일 관점에 따라, 반도체 공정 설비 부품의 표면 상에 부식 방지 다이아몬드 또는 유사-다이아몬드 함유 코팅막을 형성하는 방법이 제공된다. 이 방법은 외측의 부식 방지 표면을 형성할 수 있도록 반도체 공정 설비 부품 표면에 다이아몬드 또는 유사-다이아몬드 함유 코팅막을 증착하는 단계를 포함한다. 부식 방지 표면이라 함은, 플라즈마 챔버 가스들에 의한 코팅막의 부식에 저항하면서, 하부의 물질들을 플라즈마 챔버 가스들의 부식성 효과로부터 보호하는 표면 코팅을 의미한다. 코팅되어야 할 공정 설비 부품의 하부 표면은 금속, 세라믹 또는 폴리머 물질을 포함할 수 있는데 바람직한 물질은 양극산화된 알루미늄이다.
바람직한 실시예에 있어서, 하나 이상의 중간 금속, 세라믹 또는 폴리머 코팅막이 반도체 공정 설비의 표면과 다이아몬드 또는 유사-다이아몬드 함유 코팅막 사이에 사용될 수 있다. 코팅될 금속 표면은 양극산화되거나 양극산화되지 않은 알루미늄, 스테인리스 스틸, 몰리브덴 또는 다른 금속과 같은 내화 금속 또는 플라즈마 챔버 안에서 사용되는 합금을 포함한다. 코팅될 세라믹 표면은 알루미나, SiC, AlN, Si3N4, BC 또는 플라즈마와 양립할 수 있는 다른 세라믹 물질을 포함한다. 코팅될 폴리머 표면은 Teflon®과 같은 플루오로폴리머(fluoropolymer), Vespel®과 같은 폴리이미드, 및 200℃에 달하는 온도에서 플라즈마 챔버 안에서 유용한 다른 폴리머 물질을 포함한다.
본 발명의 두번째 관점에 따라, 금속 부품이 제공된다. 상기 부품은, (a) 금속 표면; (b) 상기 금속 표면 상의 선택적인 제1 중간 코팅막; (c) 상기 제1 중간 코팅막 또는 상기 금속 표면 상의 선택적인 제2 중간 코팅막; 및 (d) 부식 저항성 외측 표면을 형성하는, 상기 부품 상의 다이아몬드 또는 유사-다이아몬드 함유 코팅막을 포함한다. 상기 제1 및 제2 중간 코팅막 각각은 금속 또는 금속의 합금, 세라믹, 폴리머 또는 플라즈마 챔버 반응기 안에서 사용되는 물질의 복합체 또는 혼합물일 수 있다.
본 발명의 다른 관점에 따라, 다이아몬드 또는 유사-다이아몬드 함유 물질로 만들어진 반도체 공정 설비 부품이 제공된다. 상기 부품은 이러한 설비 안에서 사용되는 하나 이상의 코팅막을 포함할 수 있다.
본 발명은 부식 방지 코팅막을 사용함으로써, 플라즈마 공정 반응 챔버의 부품들과 같은 반도체 공정 설비들의 금속, 세라믹 및 폴리머 표면에 부식 저항성을 제공하는 효과적인 방법을 제공한다. 이러한 부품들은 챔버 벽체, 기판 지지대, 샤워헤드, 배플(baffle), 링, 노즐 등을 포함하는 가스 분산 시스템, 조임쇄, 가열 부재, 플라즈마 스크린, 라이너, 로봇암, 조임쇄와 같은 운송 모듈 부품들, 챔버 내벽 및 외벽체 등을 포함한다.
본 발명은 금속, 세라믹 또는 폴리머 표면을 갖는 모든 형태의 부품들에 적용될 수 있지만, 설명의 편의를 위하여, 본 발명은 본 명세서에 그 전부가 원용되어 통합된 미국 특허 제5,820,723호에서 설명된 장치와 관련하여 더욱 상세하게 설명될 것이다.
도 1은 He 후면 냉각을 하는 동안 기판(60)에 RF 바이어스를 제공할 뿐만 아니라 기판(60)에 정전기적 클램핑 힘을 제공하는 기판 홀더(70)를 포함하는 진공 공정 반응 챔버(10)를 도시한 도면이다. 포커스 링(72)은 상기 기판 상의 영역 안에 플라즈마를 가둔다. 고밀도 플라즈마를 제공하기 위하여 적절한 RF 소스에 의해 전력이 가해지는 안테나(40)와 같이 챔버에 고밀도(예컨대, 1011∼1012이온/㎤)플라즈마를 유지하기 위한 에너지 소스가 반응 챔버(10)의 상단에 구비된다. 상기 챔버는 챔버의 바닥에서 가운데 위치한 진공 포트(vacuum port)(20)를 통해 챔버를 배기함에 의해 챔버의 내부(30)를 소정 압력(예컨대, 50mTorr 이하, 전형적으로는 1∼20mTorr)으로 유지하기 위한 적절한 진공 펌핑 장치를 포함한다.
안테나(40)와 공정 챔버(10)의 내부 사이에 제공된 균일한 두께의 실질적으로 편평한 절연창(50)은 공정 챔버(10)의 상부에서 진공벽을 형성한다. 가스 분산판(52)은 창(50) 하부에 제공되고, 챔버(10)에 가스 공급부(gas supply)로부터 공정가스를 운반하기 위한 원형 홀과 같은 개구부를 포함한다. 원뿔형 라이너(54)는 가스 분산판으로부터 뻗어나가 기판 홀더(70)를 둘러싼다.
동작에 있어서, 실리콘 웨이퍼(60)와 같은 반도체 기판은 기판 홀더(70) 상에 위치되고, He 후면냉각이 사용되는 동안 정전기적 클램프(74)에 의해 고정되는 것이 일반적이다. 공정가스는 창(50)과 가스 분산판(52) 사이의 간격(gap)을 통해 공정가스를 통과시켜 진공 공정 챔버(10)에 공급한다. 적절한 가스 분산판 설비(예컨대, 샤워헤드)는 공동소유인 미국 특허 제5,824,605호, 제6,048,798호, 및 제5,863,376호에 개시되어 있고, 그 개시 내용은 여기에 원용되어 통합된다. 예를 들면, 도 1에서 창 및 가스 분산판 설비는 편평하고 균일한 두께인데, 편평하지 않은 모양 및/또는 불균일한 두께 형상이 창 및/또는 가스 분산판에 사용될 수 있다. 고밀도 플라즈마는 안테나(40)에 적절한 RF 파워를 인가함으로써 기판과 창 사이의 공간에서 점화된다.
플라즈마에 노출되고 부식의 징후를 보이는 양극산화되거나 양극산화되지 않은 알루미늄 벽체와 같은 챔버 벽체(28)와, 기판 홀더(70), 조임쇄(56), 라이너(54) 등과 같은 금속, 세라믹 또는 폴리머 부품들은 본 발명에 따른 코팅을 위한 후보들이며, 따라서 플라즈마 챔버를 작동시키는 동안에 그것들을 마스크할 필요가 없다. 코팅될 금속 및/또는 합금의 예는 양극산화되거나 양극산화되지 않은 알루미늄 및 그 합금, 스테인리스 스틸, 텅스텐(W)과 몰리브덴(Mo)과 같은 내화 금속 및 그 합금, 구리 및 그 합금 등이다. 코팅될 세라믹 표면의 예는 알루미나, SiC, AlN, Si3N4, BC 및 TiO2를 포함한다. 코팅될 폴리머 물질로서 상업적으로 입수할 수 있는 것의 예는 Teflon®과 같은 플루오로폴리머, Vespel®과 같은 폴리이미드, 그리고 200℃에 달하는 온도에서 플라즈마 챔버 안에서 유용한 다른 폴리머 물질을 포함한다. 바람직한 실시예에 있어서, 코팅될 부품은 양극산화되거나 양극산화되지 않은 알루미늄 표면(29)을 갖는 챔버 벽체(28)이다. 본 발명에 따른 코팅막은 조성, 그레인(grain) 구조 또는 표면 조건에 관계없이 알루미늄 합금의 사용을 허용한다(따라서, 고순도의 알루미늄뿐만 아니라 좀더 경제적인 알루미늄 합금의 사용을 허용한다). 다음의 논의에서, 코팅될 부품의 예는 도 2에 도시된 바와 같이, 제1 선택적인 중간 코팅막(80), 제2 선택적인 중간 코팅막(90) 및 다이아몬드 함유 코팅막(100)을 갖는 알루미늄 챔버 벽체(28)이다.
코팅된 물질이 접착이 잘 되게 하기 위하여, 알루미늄 기판(28)의 표면은 코팅을 하기 전에 산화막 또는 그리스(grease)와 같은 표면 물질을 제거하도록 철저히 세정하는 것이 바람직하다. 뿐만 아니라, 원하는 코팅막을 적용하기 전에, 기판 표면을 거칠게 하고, 기판 표면을 양극산화하고 양극산화된 기판 표면을 다시 거칠게 하는 것이 특히 바람직하다.
본 발명에 따라, 알루미늄 측벽(28) 상에 제1 중간 코팅막(80)을 통상적인 방법으로 선택적으로 코팅한다. 선택적인 제1 중간 코팅막(80)은 기판에 접착될 수 있고, 또 다음에 설명하는 것과 같이 선택적인 제2 중간 코팅막(90) 또는 다이아몬드 함유 코팅막을 형성하기 전에 더 처리될 수 있을 정도로 충분히 두껍다. 제1 중간 코팅막(80)은 적어도 약 0.001 인치와 같은 두께, 바람직하게는 약 0.001과 약 0.25 인치 사이의 두께, 보다 바람직하게는 0.001과 0.1 인치 사이의 두께, 가장 바람직하게는 0.001 인치와 0.05 인치 사이의 두께 중에 적당한 두께를 가질 수 있다.
제1 중간 코팅막(80)을 알루미늄 기판(28) 상에 증착한 후, 상기 코팅막을 적절한 기술로 송풍(blast)하거나 거칠게 한 다음, 제2 선택적인 코팅막(90) 또는 다이아몬드 함유 코팅막(100)을 덧씌운다. 거칠어진 막(80)은 특히 양호한 결합을 제공한다. 바람직하게는, 제2 중간 코팅막(90)이 코팅막(80)에 높은 기계적 압축 강도를 부여하고 상기 코팅막(90)에 균열(fissure)이 형성되는 것을 최소화한다.
선택적인 제2 중간 코팅막(90)은 제1 중간 코팅막(80)에 접착될 수 있고, 또 다음에 설명하는 것과 같이 추가적인 중간 코팅막 또는 외측 다이아몬드 또는 유사-다이아몬드 함유 코팅막(100)을 형성하기 전에 더 처리될 수 있을 정도로 충분히 두껍다. 제2 중간 코팅막(90)은 적어도 약 0.001 인치와 같은 두께, 바람직하게는 약 0.001과 약 0.25 인치 사이의 두께, 보다 바람직하게는 0.001과 0.1 인치 사이의 두께, 가장 바람직하게는 0.001 인치와 0.05 인치 사이의 두께 중에 적당한 두께를 가질 수 있다.
제1 및 제2 중간 코팅막은 종래의 플라즈마 공정 챔버에 사용되는 물질들 중에서 하나 이상으로 만들 수 있다. 이러한 물질들의 예는 금속, 세라믹 및 폴리머를 포함한다. 특히 바람직한 금속은 하나 이상의 내화 금속, 이러한 금속을 함유하는 복합체 또는 합금을 포함한다. 특히 바람직한 세라믹은 Al2O3, SiC, Si3N4, BC, AlN, TiO2등을 포함한다. 특히 바람직한 폴리머는 Teflon®과 같은 플루오로폴리머, Vespel®과 같은 폴리이미드, 및 200℃에 달하는 온도에서 플라즈마 챔버 안에서 유용한 다른 폴리머 물질을 포함한다. 중간막으로 사용할 수 있는 특정 물질은 또한 플러렌(fullerene) 함유 물질; 다이아몬드 및 유사-다이아몬드 물질과 같은 경질 탄소 함유 물질; 예컨대 하프늄, 탄탈륨, 티타늄 및/또는 실리콘의 카바이드, 보라이드(boride), 나이트라이드 및/또는 카보나이트라이드(carbonitride); 보론 카바이드; 보론 나이트라이드; 보론 카보나이트라이드; 지르코니아; 이트리아 또는 여기에 언급한 물질들의 혼합물을 포함한다.
선택적일 수 있는 제1 및 제2 중간막(80, 90)은 코팅막이 원하는 특성에 따라 동일하거나 다르도록 앞에 언급한 물질 중의 어떤 것이라도 될 수 있다. 동일하거나 다른 물질로 된 제3, 제4 또는 제5 중간 코팅막과 같은 추가적인 중간 코팅막도 사용될 수 있을 것이다.
다이아몬드 함유 코팅막(100)은 선택적인 제2 중간 코팅막(90) 또는 선택적인 제1 중간 코팅막(80) 또는 알루미늄 기판(28) 상에 증착된다. 다이아몬드 함유 코팅막의 두께는 적어도 0.001 인치, 바람직하게는 약 0.001과 약 0.25 인치 사이, 보다 바람직하게는 약 0.001과 약 0.1 인치 사이, 그리고 가장 바람직하게는 0.001과 0.05 인치 사이이다. 가장 바람직하게는, 다이아몬드 또는 유사-다이아몬드 코팅막은 부식성 챔버 가스에 대해 장시간의 노출에도 하부의 막들, 특히 기판의 부식 및/또는 침식 보호를 제공하기에 유용한 두께이다. 보다 바람직하게는, 코팅막들이 모두 0.001 인치 이상이고, 가장 바람직하게는 약 0.002 인치와 0.010 인치 사이의 두께이다. 다이아몬드 또는 유사-다이아몬드 함유 코팅막(100)의 두께는 반응기(예를 들어, 식각, CVD 등) 안에서 접할 플라즈마 환경과 양립할 수 있도록 선택될 수 있다. 이러한 다이아몬드 함유 코팅막은 반응 챔버와 앞에서 논의한 부품들의 전체 표면에 또는 부분적으로 코팅될 수 있다.
여기서 다이아몬드 함유 코팅막이라 함은, 다이아몬드 또는 유사-다이아몬드 물질을 함유하는 코팅막을 의미한다. 유사-다이아몬드 물질은 다이아몬드의 물성을 전부 갖는 것은 아니지만 많이는 포함하는 탄소계 물질이다. 이러한 물질은 비정질 탄소, 경질 탄소와 α-탄소를 포함한다. 바람직한 유사-다이아몬드 물질은 다이아몬드 경도의 50% 이상, 바람직하게는 70% 이상의 경도를 가지는 비정질 탄소 물질이다. 다이아몬드 또는 유사-다이아몬드를 의미하는, 이러한 모든 코팅막은 이제부터 다이아몬드 함유 코팅막이라고 통칭한다.
바람직한 두께의 면에 있어서, 다이아몬드 함유 코팅막이 다이아몬드 또는유사-다이아몬드 물질과 다른 보호성 물질의 복합체가 되도록 다른 보호성 물질로 도핑되거나 혼합된 다이아몬드 함유 코팅막을 사용하는 것이 가장 바람직하다. 이러한 물질은 종래의 플라즈마 공정 챔버에 사용되는 물질 중 하나 이상을 포함할 수 있다. 이러한 물질의 예는 하나 이상의 금속, 세라믹 그리고 폴리머를 포함한다. 특히 바람직한 금속은 하나 이상의 내화 금속, 이러한 금속을 함유하는 복합체 또는 합금을 포함한다. 특히 바람직한 세라믹은 Al2O3, SiC, Si3N4, BC, AlN, TiO2등을 포함한다. 특히 바람직한 폴리머는 Teflon®과 같은 플루오로폴리머, Vespel®과 같은 폴리이미드, 및 200℃에 달하는 온도에서 플라즈마 챔버 안에서 유용한 다른 폴리머 물질을 포함한다. 가장 바람직한 물질은 다이아몬드 또는 유사-다이아몬드 물질 단독, 혹은 예컨대 하프늄, 탄탈륨, 티타늄 및/또는 실리콘의 카바이드, 보라이드, 나이트라이드 및/또는 카보나이트라이드와 조합한 것; 보론 카바이드; 보론 나이트라이드; 보론 카보나이트라이드; 지르코니아; 이트리아 또는 여기에 언급한 물질들의 혼합물을 포함한다.
상술한 바와 같이, 본 발명의 다이아몬드 함유 코팅막은 다른 보호성 물질로 도핑될 수 있다. 다른 보호성 물질의 도핑 농도는 바람직하게는 다이아몬드 코팅막 중량의 1 % 이하이고, 보다 바람직하게는 다이아몬드 코팅막 중량의 약 100ppm과 1% 사이, 그리고 보다 바람직하게는 다이아몬드 코팅막 중량의 약 0.001%와 0.1% 사이이다.
본 발명의 다이아몬드 함유 코팅막은 다른 보호성 물질과의 복합체일 수도 있다. 복합체는 다이아몬드 함유 코팅막의 연속적인 기지상(matrix phase)을 가지는 것이 바람직하다. 다른 보호성 물질의 복합체 농도는 바람직하게는 코팅막(100) 중량의 1%보다 크고, 보다 바람직하게는 코팅막 중량의 약 20과 80% 사이, 보다 바람직하게는 코팅막 중량의 약 40과 60% 사이이다. 몇 몇 경우에 있어서, 특히 비용이 중요한 경우에, 다이아몬드 또는 유사-다이아몬드 코팅막의 퍼센티지는 예컨대 코팅막 중량의 20, 10, 5 그리고 1% 미만인 것같이 매우 낮다.
본 발명의 다이아몬드 함유 코팅막은 열 분무, 플라즈마 분무, 화학기상증착, 승화, 레이저 증기화, 스퍼터링, 스퍼터링 증착, 이온 빔 코팅, 분무 코팅, 딥(dip) 코팅, 증발, 롤-온(roll-on) 코팅 또는 브러쉬(brush) 코팅 등과 같이 잘 알려진 코팅 기술을 이용하여 원하는 표면에 증착할 수 있다. 이러한 공지의 기술을 이용하여 원하는 표면 위에 다른 물질로 된 중간막을 개재시키거나 개재시키지 않고 하나 이상의 다이아몬드 함유 코팅막을 증착할 수 있다.
본 발명의 다른 관점에서, 다이아몬드 함유 물질로 만들어진 반도체 공정 설비 부품이 제공된다. 상기 부품은 이러한 설비 안에 사용되는 하나 이상의 코팅막을 포함할 수 있다.
본 발명의 다이아몬드 함유 코팅막 또는 부품들을 사용하여, 초경, 부식 방지 표면을 얻는 것이 바람직하다. 이러한 코팅막이나 부품은 파티클 오염, 부식, 금속 오염 또는 휘발성 식각 부산물이 거의 또는 전혀 없도록, 공정 챔버 가스와 반응하는 물질을 포함하지 않고 화학적으로 불활성인 것이 바람직하다.
반응 챔버에서 처리되는 반도체 기판의 금속 오염을 방지하기 위하여, 다이아몬드 함유 코팅막 또는 부품은 플라즈마와 직접 접촉하는 부분 또는 라이너 등과 같은 챔버 부품 뒤에 있는 부분처럼 플라즈마 환경에 노출되거나 노출되지 않는 영역 상에 놓이는 것이 바람직하다. 전이 금속 먼지, 즉, 주기율표 안의 21번부터 29번까지의 원소(스칸듐부터 구리), 39번부터 47번까지의 원소(이트륨부터 은), 57번부터 79번까지의 원소(란타늄부터 금) 중의 하나 이상 그리고 89번(악티늄)으로부터 알려진 모든 원소들을 제한하거나 차단하는 것이 특히 바람직하다. 따라서, 본 발명의 장점 중의 하나에 따르면, 침식 또는 부식에 의한 먼지 발생을 억제함으로써 불만족스러운 식각 또는 증착된 막에서의 바람직하지 않은 핀홀(pinhole)의 형성이 감소된다.
상세한 실시예를 참조하여 본 발명을 상세하게 설명하였으나, 첨부된 청구범위를 벗어남이 없이 다양한 변화 및 변형이 만들어질 수 있고, 균등물이 사용될 수 있음은 본 발명의 기술분야에서 통상의 지식을 가진 자에게 자명하다.
본 발명의 다이아몬드 함유 코팅막 또는 부품들을 사용하여, 초경, 부식 방지 표면을 달성할 수 있다. 따라서, 반도체 공정 설비의 부품들을 위한 고순도 및 부식 저항성에 대한 요구를 충족시킬 수 있다. 챔버 물질과 관련하여 플라즈마 반응 챔버의 서비스 수명을 증가시키고, 따라서 장치의 휴지시간을 감소시킬 수 있어 반도체 웨이퍼에 대한 공정 비용을 저감시키는 데 있어서 이득이 된다. 침식 또는 부식에 의한 먼지 발생을 억제함으로써 불만족스러운 식각 또는 증착된 막에서의바람직하지 않은 핀홀의 형성이 감소된다.

Claims (31)

  1. (a) 반도체 공정 설비 부품의 표면 상에 제1 중간 코팅막을 증착하는 선택적인 단계;
    (b) 상기 제1 중간 코팅막 또는 상기 표면 상에 제2 중간 코팅막을 증착하는 선택적인 단계; 및
    (c) 외측 부식 방지 표면을 형성하기 위해 상기 부품 상에 적어도 0.001 인치의 두께를 가지는 다이아몬드 함유 코팅막을 증착하는 단계를 포함하는 것을 특징으로 하는 반도체 공정 설비 부품의 표면 코팅 방법.
  2. 제1항에 있어서, 상기 부품의 상기 표면은 금속, 세라믹 또는 폴리머 표면을 포함하는 것을 특징으로 하는 코팅 방법.
  3. 제2항에 있어서, 상기 표면은 양극산화된(anodized) 알루미늄인 것을 특징으로 하는 코팅 방법.
  4. 제1항에 있어서, 상기 제1 중간 코팅막은 선택적이지 않은 것을 특징으로 하는 코팅 방법.
  5. 제4항에 있어서, 상기 제1 중간 코팅막은 금속, 세라믹 또는 폴리머 코팅막을 포함하는 것을 특징으로 하는 코팅 방법.
  6. 제1항에 있어서, 상기 부품은 플라즈마 식각 챔버의 챔버 벽체를 포함하는 것을 특징으로 하는 코팅 방법.
  7. 제1항에 있어서, 상기 부품 상에 거친 표면을 형성하는 단계를 더 포함하고, 상기 다이아몬드 함유 코팅막을 상기 거친 표면 상에 증착하는 것을 특징으로 하는 코팅 방법.
  8. 제1항에 있어서, 상기 다이아몬드 함유 코팅막은 비정질 사면체 탄소를 포함하는 것을 특징으로 하는 코팅 방법.
  9. 제1항에 있어서, 상기 다이아몬드 함유 코팅막은 다이아몬드 또는 유사-다이아몬드 물질 이외의 다른 물질을 적어도 하나 포함하는 것을 특징으로 하는 코팅 방법.
  10. 제9항에 있어서, 상기 다른 물질은 금속, 세라믹 또는 폴리머인 것을 특징으로 하는 코팅 방법.
  11. 제10항에 있어서, 상기 다른 물질은 티타늄 또는 실리콘을 포함하는 것을 특징으로 하는 코팅 방법.
  12. 제11항에 있어서, 상기 다른 물질은 티타늄 카바이드, 티타늄 보라이드, 티타늄 나이트라이드, 실리콘 카바이드, 실리콘 보라이드, 실리콘 나이트라이드 또는 이들의 혼합물을 포함하는 것을 특징으로 하는 코팅 방법.
  13. 제9항에 있어서, 상기 다이아몬드 함유 코팅막은 상기 다이아몬드 또는 유사-다이아몬드 물질 이외의 다른 물질로 도핑된 것을 특징으로 하는 코팅 방법.
  14. 제9항에 있어서, 상기 다이아몬드 함유 코팅막은 상기 다이아몬드 또는 유사-다이아몬드 물질과 상기 다이아몬드 또는 유사-다이아몬드 물질 이외의 다른 물질의 복합체 형태인 것을 특징으로 하는 코팅 방법.
  15. 제1항에 있어서, 상기 다이아몬드 함유 코팅막은 화학기상증착, 플라즈마 분무 코팅, 승화, 레이저 증기화, 스퍼터링, 스퍼터링 증착, 이온 빔 코팅, 분무 코팅, 딥(dip) 코팅, 증발 코팅, 롤-온(roll-on) 코팅 또는 브러쉬(brush) 코팅으로 증착하는 것을 특징으로 하는 코팅 방법.
  16. (a) 표면;
    (b) 상기 표면 상의 선택적인 제1 중간 코팅막;
    (c) 상기 제1 중간 코팅막 또는 상기 표면 상의 선택적인 제2 중간 코팅막; 및
    (d) 외측 부식 방지 표면을 형성하는, 상기 부품 상의 적어도 0.001 인치의 두께를 가지는 다이아몬드 함유 코팅막을 포함하는 것을 특징으로 하는 반도체 공정 설비의 부품.
  17. 제16항에 있어서, 상기 표면은 금속, 세라믹 또는 폴리머 표면인 것을 특징으로 하는 부품.
  18. 제17항에 있어서, 상기 표면은 양극산화된 알루미늄인 것을 특징으로 하는 부품.
  19. 제16항에 있어서, 상기 제1 중간 코팅막은 선택적이지 않은 것을 특징으로 하는 부품.
  20. 제16항에 있어서, 상기 부품은 플라즈마 식각 챔버의 챔버 벽체를 포함하는 것을 특징으로 하는 부품.
  21. 제12항에 있어서, 상기 다이아몬드 함유 코팅막은 비정질 사면체 탄소를 포함하는 것을 특징으로 하는 부품.
  22. 제16항에 있어서, 상기 다이아몬드 함유 코팅막은 다이아몬드 또는 유사-다이아몬드 물질 이외의 다른 물질을 적어도 하나 포함하는 것을 특징으로 하는 부품.
  23. 제22항에 있어서, 상기 다른 물질은 금속, 세라믹 또는 폴리머인 것을 특징으로 하는 부품.
  24. 제23항에 있어서, 상기 다른 물질은 티타늄 또는 실리콘을 포함하는 것을 특징으로 하는 부품.
  25. 제24항에 있어서, 상기 다른 물질은 티타늄 카바이드, 티타늄 보라이드, 티타늄 나이트라이드, 실리콘 카바이드, 실리콘 보라이드, 실리콘 나이트라이드 또는 이들의 혼합물을 포함하는 것을 특징으로 하는 부품.
  26. 제22항에 있어서, 상기 다이아몬드 함유 코팅막은 상기 다이아몬드 또는 유사-다이아몬드 물질 이외의 다른 물질로 도핑된 것을 특징으로 하는 부품.
  27. 제22항에 있어서, 상기 다이아몬드 함유 코팅막은 상기 다이아몬드 또는 유사-다이아몬드 물질과 상기 다이아몬드 또는 유사-다이아몬드 물질 이외의 다른 물질의 복합체 형태인 것을 특징으로 하는 부품.
  28. 제16항에 있어서, 하나 이상의 추가적인 다이아몬드 함유 코팅막 또는 중간 코팅막을 더 포함하는 것을 특징으로 하는 부품.
  29. 제16항에 있어서, 상기 다이아몬드 또는 유사-다이아몬드 물질은 상기 다이아몬드 함유 코팅막의 연속적인 기지상(matrix phase)을 형성하는 것을 특징으로 하는 부품.
  30. 설비 안의 플라즈마에 노출된 표면을 적어도 하나 가진 반도체 공정 설비의 부품으로서, 상기 부품이 상기 설비 안의 플라즈마에 노출된 적어도 0.001 인치의 표면을 형성하는 다이아몬드 함유 물질을 포함하는 반도체 공정 설비의 부품.
  31. 제30항에 있어서, 상기 전체 부품이 상기 다이아몬드 함유 물질로 만들어진 것을 특징으로 하는 부품.
KR1020037008678A 2000-12-29 2001-11-21 반응기 벽체 상의 다이아몬드 코팅막 및 그 제조방법 KR100853972B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/749,925 US6537429B2 (en) 2000-12-29 2000-12-29 Diamond coatings on reactor wall and method of manufacturing thereof
US09/749,925 2000-12-29
PCT/US2001/043153 WO2002054454A2 (en) 2000-12-29 2001-11-21 Diamond coatings on reactor wall and method of manufacturing thereof

Publications (2)

Publication Number Publication Date
KR20030063475A true KR20030063475A (ko) 2003-07-28
KR100853972B1 KR100853972B1 (ko) 2008-08-25

Family

ID=25015793

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020037008678A KR100853972B1 (ko) 2000-12-29 2001-11-21 반응기 벽체 상의 다이아몬드 코팅막 및 그 제조방법

Country Status (10)

Country Link
US (1) US6537429B2 (ko)
EP (1) EP1358363B1 (ko)
JP (1) JP4358509B2 (ko)
KR (1) KR100853972B1 (ko)
CN (1) CN1284880C (ko)
AT (1) ATE401430T1 (ko)
AU (1) AU2002228604A1 (ko)
DE (1) DE60134896D1 (ko)
TW (1) TWI267563B (ko)
WO (1) WO2002054454A2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100773486B1 (ko) * 2007-05-23 2007-11-05 주식회사 넥스텍 표면이 코팅된 폴리쉬 캐리어 및 이의 코팅 방법

Families Citing this family (378)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3555844B2 (ja) * 1999-04-09 2004-08-18 三宅 正二郎 摺動部材およびその製造方法
TW514996B (en) * 1999-12-10 2002-12-21 Tokyo Electron Ltd Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
US6620520B2 (en) * 2000-12-29 2003-09-16 Lam Research Corporation Zirconia toughened ceramic components and coatings in semiconductor processing equipment and method of manufacture thereof
US6613442B2 (en) * 2000-12-29 2003-09-02 Lam Research Corporation Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof
JP2003231203A (ja) * 2001-08-21 2003-08-19 Toshiba Corp 炭素膜被覆部材
DE10163171A1 (de) * 2001-12-21 2003-07-03 Solvay Fluor & Derivate Neue Verwendung für Legierungen
KR100460143B1 (ko) * 2002-08-02 2004-12-03 삼성전자주식회사 반도체 제조설비용 프로세스 챔버
US7166200B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US7137353B2 (en) 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US7166166B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US7147749B2 (en) * 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US7204912B2 (en) 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US6798519B2 (en) * 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US6837966B2 (en) 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US6969198B2 (en) * 2002-11-06 2005-11-29 Nissan Motor Co., Ltd. Low-friction sliding mechanism
KR100772740B1 (ko) 2002-11-28 2007-11-01 동경 엘렉트론 주식회사 플라즈마 처리 용기 내부재
US8555921B2 (en) 2002-12-18 2013-10-15 Vapor Technologies Inc. Faucet component with coating
US8220489B2 (en) 2002-12-18 2012-07-17 Vapor Technologies Inc. Faucet with wear-resistant valve component
US7866343B2 (en) 2002-12-18 2011-01-11 Masco Corporation Of Indiana Faucet
US7866342B2 (en) 2002-12-18 2011-01-11 Vapor Technologies, Inc. Valve component for faucet
US20040134427A1 (en) * 2003-01-09 2004-07-15 Derderian Garo J. Deposition chamber surface enhancement and resulting deposition chambers
CN1705561A (zh) * 2003-01-27 2005-12-07 东京毅力科创株式会社 改进紧固件的方法和设备
KR101016913B1 (ko) * 2003-03-31 2011-02-22 도쿄엘렉트론가부시키가이샤 처리요소용 배리어층 및 그의 형성방법
JP3891433B2 (ja) * 2003-04-15 2007-03-14 日産自動車株式会社 燃料噴射弁
EP1479946B1 (en) * 2003-05-23 2012-12-19 Nissan Motor Co., Ltd. Piston for internal combustion engine
EP1482190B1 (en) * 2003-05-27 2012-12-05 Nissan Motor Company Limited Rolling element
JP2005008851A (ja) * 2003-05-29 2005-01-13 Nissan Motor Co Ltd 硬質炭素薄膜付き機械加工工具用切削油及び硬質炭素薄膜付き機械加工工具
JP4863152B2 (ja) * 2003-07-31 2012-01-25 日産自動車株式会社 歯車
US8206035B2 (en) * 2003-08-06 2012-06-26 Nissan Motor Co., Ltd. Low-friction sliding mechanism, low-friction agent composition and method of friction reduction
JP2005054617A (ja) * 2003-08-08 2005-03-03 Nissan Motor Co Ltd 動弁機構
JP4973971B2 (ja) * 2003-08-08 2012-07-11 日産自動車株式会社 摺動部材
JP4117553B2 (ja) * 2003-08-13 2008-07-16 日産自動車株式会社 チェーン駆動装置
US7771821B2 (en) * 2003-08-21 2010-08-10 Nissan Motor Co., Ltd. Low-friction sliding member and low-friction sliding mechanism using same
EP1508611B1 (en) 2003-08-22 2019-04-17 Nissan Motor Co., Ltd. Transmission comprising low-friction sliding members and transmission oil therefor
US7824498B2 (en) * 2004-02-24 2010-11-02 Applied Materials, Inc. Coating for reducing contamination of substrates during processing
US7119032B2 (en) 2004-08-23 2006-10-10 Air Products And Chemicals, Inc. Method to protect internal components of semiconductor processing equipment using layered superlattice materials
US7552521B2 (en) * 2004-12-08 2009-06-30 Tokyo Electron Limited Method and apparatus for improved baffle plate
US7601242B2 (en) * 2005-01-11 2009-10-13 Tokyo Electron Limited Plasma processing system and baffle assembly for use in plasma processing system
US20060225654A1 (en) * 2005-03-29 2006-10-12 Fink Steven T Disposable plasma reactor materials and methods
US20060280946A1 (en) * 2005-05-20 2006-12-14 United Technologies Corporation Metal-containing diamond-like-carbon coating compositions
CN1870863B (zh) * 2005-05-28 2011-06-08 鸿富锦精密工业(深圳)有限公司 便携式电子装置外壳及其制作方法
JP4817102B2 (ja) * 2005-10-03 2011-11-16 麒麟麦酒株式会社 ダイヤモンド状炭素薄膜、それを表面に成膜したプラスチックフィルム及びガスバリア性プラスチックボトル
KR100757347B1 (ko) * 2006-08-30 2007-09-10 삼성전자주식회사 이온 주입 장치
US20080169183A1 (en) * 2007-01-16 2008-07-17 Varian Semiconductor Equipment Associates, Inc. Plasma Source with Liner for Reducing Metal Contamination
US8187414B2 (en) * 2007-10-12 2012-05-29 Lam Research Corporation Anchoring inserts, electrode assemblies, and plasma processing chambers
JP2009123795A (ja) * 2007-11-13 2009-06-04 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
PT2303471T (pt) * 2008-07-18 2019-07-29 Neogi Suneeta Processo para produzir revestimentos de diamante nano-cristalino em gemas
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110076400A1 (en) * 2009-09-30 2011-03-31 Applied Materials, Inc. Nanocrystalline diamond-structured carbon coating of silicon carbide
DE102009044876A1 (de) * 2009-12-14 2011-06-16 Elmar Hans Schmidbauer Gmbh & Co. Kg Verwendung von Funktionselementen mit Diamantbeschichtung auf Zwischenschichten auf Stahlsubstraten als Funktions- elemente mit Schutzbeschichtung gegen Kavitationserosion
CN102534611A (zh) * 2010-12-27 2012-07-04 鸿富锦精密工业(深圳)有限公司 壳体及其制造方法
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
FI123883B (fi) * 2011-09-16 2013-11-29 Picodeon Ltd Oy Kohtiomateriaali, pinnoite ja pinnoitettu esine
CN102456568A (zh) * 2011-09-29 2012-05-16 上海华力微电子有限公司 一种淀积掺氮碳化硅薄膜的方法
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US20140099794A1 (en) * 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
EP3056069A4 (en) * 2013-10-07 2017-06-21 Aeonclad Coatings, LLC Low-cost plasma reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
CN105986245A (zh) * 2015-02-16 2016-10-05 中微半导体设备(上海)有限公司 改善mocvd反应工艺的部件及改善方法
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US20160362782A1 (en) * 2015-06-15 2016-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gas dispenser and deposition apparatus using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11008655B2 (en) * 2016-03-03 2021-05-18 Lam Research Corporation Components such as edge rings including chemical vapor deposition (CVD) diamond coating with high purity SP3 bonds for plasma processing systems
JP6400227B2 (ja) 2016-04-05 2018-10-03 関東電化工業株式会社 半導体製造装置のクリーニング方法
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6851217B2 (ja) * 2017-02-16 2021-03-31 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
CN107267952B (zh) * 2017-05-05 2023-05-23 宁波工程学院 一种化学气相沉积镀制金刚石膜的方法
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US20190119815A1 (en) * 2017-10-24 2019-04-25 Applied Materials, Inc. Systems and processes for plasma filtering
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
KR102159224B1 (ko) * 2018-07-17 2020-09-23 주식회사 마스터 포커스 링, 그 제조 방법, 및 기판 처리 장치
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210089077A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
US20230088744A1 (en) * 2020-01-30 2023-03-23 Exxonmobil Chemical Patents Inc. Autoclave Reactor System Comprising an Agitator with Polycrystalline Diamond Bearings
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN115819118B (zh) * 2022-11-25 2023-09-22 湖南柯盛新材料有限公司 抗氧化涂层和含有抗氧化涂层的石墨模具及其制备方法

Family Cites Families (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4340462A (en) 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
FR2538987A1 (fr) 1983-01-05 1984-07-06 Commissariat Energie Atomique Enceinte pour le traitement et notamment la gravure de substrats par la methode du plasma reactif
US4534816A (en) 1984-06-22 1985-08-13 International Business Machines Corporation Single wafer plasma etch reactor
US4612077A (en) 1985-07-29 1986-09-16 The Perkin-Elmer Corporation Electrode for plasma etching system
JPS62103379A (ja) 1985-10-29 1987-05-13 Showa Alum Corp Cvd装置およびドライ・エツチング装置における真空チヤンバの製造方法
JPS6413404A (en) * 1987-07-07 1989-01-18 Sumitomo Electric Industries Opening and closing state detection sensor
JPH0741153Y2 (ja) 1987-10-26 1995-09-20 東京応化工業株式会社 試料処理用電極
US5262029A (en) 1988-05-23 1993-11-16 Lam Research Method and system for clamping semiconductor wafers
US4948458A (en) 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
WO1991017562A1 (en) 1990-04-30 1991-11-14 International Business Machines Corporation Plasma reaction chamber having conductive diamond-coated surfaces
GB2243577A (en) 1990-05-07 1991-11-06 Compeq Manufacturing Co Limite A method of bonding copper and resin
US5268200A (en) * 1990-05-21 1993-12-07 Applied Materials, Inc. Method of forming plasma etch apparatus with conductive coating on inner metal surfaces of chamber to provide protection from chemical corrosion
US5200232A (en) 1990-12-11 1993-04-06 Lam Research Corporation Reaction chamber design and method to minimize particle generation in chemical vapor deposition reactors
US5989511A (en) 1991-11-25 1999-11-23 The University Of Chicago Smooth diamond films as low friction, long wear surfaces
DE4300223A1 (de) 1993-01-07 1994-07-14 Hora Heinrich Erosionsfeste Überzüge an Innenwänden von Plasmabehältern
US5366585A (en) 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
US5308661A (en) 1993-03-03 1994-05-03 The Regents Of The University Of California Pretreatment process for forming a smooth surface diamond film on a carbon-coated substrate
US5522932A (en) 1993-05-14 1996-06-04 Applied Materials, Inc. Corrosion-resistant apparatus
US5891253A (en) 1993-05-14 1999-04-06 Applied Materials, Inc. Corrosion resistant apparatus
JP3308091B2 (ja) 1994-02-03 2002-07-29 東京エレクトロン株式会社 表面処理方法およびプラズマ処理装置
US5798016A (en) 1994-03-08 1998-08-25 International Business Machines Corporation Apparatus for hot wall reactive ion etching using a dielectric or metallic liner with temperature control to achieve process stability
US5680013A (en) 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
KR100331053B1 (ko) 1994-05-17 2002-06-20 가나이 쓰도무 플라즈마처리장치및플라즈마처리방법
US5393572A (en) 1994-07-11 1995-02-28 Southwest Research Institute Ion beam assisted method of producing a diamond like carbon coating
US5641375A (en) 1994-08-15 1997-06-24 Applied Materials, Inc. Plasma etching reactor with surface protection means against erosion of walls
FR2726579A1 (fr) 1994-11-07 1996-05-10 Neuville Stephane Procede de depot d'un revetement protecteur de type pseudo carbonne diamant amorphe
US5885356A (en) 1994-11-30 1999-03-23 Applied Materials, Inc. Method of reducing residue accumulation in CVD chamber using ceramic lining
US5569356A (en) 1995-05-19 1996-10-29 Lam Research Corporation Electrode clamping assembly and method for assembly and use thereof
US5824605A (en) 1995-07-31 1998-10-20 Lam Research Corporation Gas dispersion window for plasma apparatus and method of use thereof
US5838529A (en) 1995-12-22 1998-11-17 Lam Research Corporation Low voltage electrostatic clamp for substrates such as dielectric substrates
JP3360265B2 (ja) 1996-04-26 2002-12-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US5863376A (en) 1996-06-05 1999-01-26 Lam Research Corporation Temperature controlling method and apparatus for a plasma processing chamber
US5820723A (en) 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US6048798A (en) 1996-06-05 2000-04-11 Lam Research Corporation Apparatus for reducing process drift in inductive coupled plasma etching such as oxide layer
US5812362A (en) 1996-06-14 1998-09-22 Applied Materials, Inc. Method and apparatus for the use of diamond films as dielectric coatings on electrostatic chucks
US5952060A (en) 1996-06-14 1999-09-14 Applied Materials, Inc. Use of carbon-based films in extending the lifetime of substrate processing system components
US5904778A (en) 1996-07-26 1999-05-18 Applied Materials, Inc. Silicon carbide composite article particularly useful for plasma reactors
US5879523A (en) 1997-09-29 1999-03-09 Applied Materials, Inc. Ceramic coated metallic insulator particularly useful in a plasma sputter reactor
US6015597A (en) 1997-11-26 2000-01-18 3M Innovative Properties Company Method for coating diamond-like networks onto particles
US5916370A (en) 1998-06-12 1999-06-29 Applied Materials, Inc. Semiconductor processing chamber having diamond coated components
JP2000012523A (ja) * 1998-06-22 2000-01-14 Fujitsu Ltd 半導体装置の製造方法
US6508911B1 (en) 1999-08-16 2003-01-21 Applied Materials Inc. Diamond coated parts in a plasma reactor

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100773486B1 (ko) * 2007-05-23 2007-11-05 주식회사 넥스텍 표면이 코팅된 폴리쉬 캐리어 및 이의 코팅 방법

Also Published As

Publication number Publication date
CN1284880C (zh) 2006-11-15
AU2002228604A1 (en) 2002-07-16
US6537429B2 (en) 2003-03-25
CN1488009A (zh) 2004-04-07
DE60134896D1 (de) 2008-08-28
TWI267563B (en) 2006-12-01
WO2002054454A3 (en) 2002-09-12
EP1358363A2 (en) 2003-11-05
US20020086501A1 (en) 2002-07-04
WO2002054454A2 (en) 2002-07-11
JP4358509B2 (ja) 2009-11-04
ATE401430T1 (de) 2008-08-15
EP1358363B1 (en) 2008-07-16
JP2004526054A (ja) 2004-08-26
KR100853972B1 (ko) 2008-08-25

Similar Documents

Publication Publication Date Title
KR100853972B1 (ko) 반응기 벽체 상의 다이아몬드 코팅막 및 그 제조방법
KR100830068B1 (ko) 반도체 공정 설비의 보론 나이트라이드/이트리아 복합체부품 및 그 제조방법
KR101076244B1 (ko) 반도체 공정 설비의 탄질화물 코팅 부품 및 그 제조방법
US6790242B2 (en) Fullerene coated component of semiconductor processing equipment and method of manufacturing thereof
KR100636076B1 (ko) 반도체 제조 장비의 침식 방지 부품 및 그 제조방법
US6830622B2 (en) Cerium oxide containing ceramic components and coatings in semiconductor processing equipment and methods of manufacture thereof
KR100898531B1 (ko) 반도체 공정 설비내의 질코니아 강화된 세라믹 부품 및 코팅과, 그 제조방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120806

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20130808

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20140805

Year of fee payment: 7

LAPS Lapse due to unpaid annual fee