TWI267563B - Diamond coatings on reactor wall and method of manufacturing thereof - Google Patents

Diamond coatings on reactor wall and method of manufacturing thereof Download PDF

Info

Publication number
TWI267563B
TWI267563B TW90130003A TW90130003A TWI267563B TW I267563 B TWI267563 B TW I267563B TW 90130003 A TW90130003 A TW 90130003A TW 90130003 A TW90130003 A TW 90130003A TW I267563 B TWI267563 B TW I267563B
Authority
TW
Taiwan
Prior art keywords
diamond
coating
component
coating method
patent application
Prior art date
Application number
TW90130003A
Other languages
English (en)
Inventor
Robert J O'donnell
Christopher C Chang
John E Daugherty
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Res Corp filed Critical Lam Res Corp
Application granted granted Critical
Publication of TWI267563B publication Critical patent/TWI267563B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J19/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J19/0053Details of the reactor
    • B01J19/0073Sealings
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J19/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J19/02Apparatus characterised by being constructed of material selected for its chemically-resistant properties
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • C23C16/27Diamond only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/02Apparatus characterised by their chemically-resistant properties
    • B01J2219/0204Apparatus characterised by their chemically-resistant properties comprising coatings on the surfaces in direct contact with the reactive components
    • B01J2219/0231Apparatus characterised by their chemically-resistant properties comprising coatings on the surfaces in direct contact with the reactive components of diamond
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/02Apparatus characterised by their chemically-resistant properties
    • B01J2219/025Apparatus characterised by their chemically-resistant properties characterised by the construction materials of the reactor vessel proper
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/26Web or sheet containing structurally defined element or component, the element or component having a specified physical dimension
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/30Self-sustaining carbon mass or layer with impregnant or other layer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31678Of metal

Landscapes

  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Physical Vapour Deposition (AREA)
  • Other Surface Treatments For Metallic Materials (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • Carbon And Carbon Compounds (AREA)

Description

A7 B7 1267563 五、發明説明(1 ) 發明背景 1. 發明領域 本發明係關於一種半導體加工設備及改善該組件腐蝕抗 性之方法。 2. 相關技藝之敘述 半導體加工之領域中,一般均使用眞空加工室,藉由供 給蝕刻或沉積氣體於眞空室中,且施加RF電場於氣體,對 氣體施加能量成爲電漿態’蝕刻且化學蒸氣沉積(CVD)基 材上之物質。平行板、變壓器耦合電漿(TCPTM)(其亦稱之 爲謗發性耦合電漿(ICP),及電子-粒子迴旋加速器共振 (ECR)反應器及其组件之實例揭示於美國專利第4,340,402; 4,948,458; 5,200,232及5,820,723中。因爲該反應器中電漿 環境之腐蝕性質,及最小粒徑之需求及/或重金屬污染’該 設備之組件極需要呈現高的腐蝕抗性。 半導體基材之加工過程中,基材一般均以基材固定器如 機械夾具及靜電夾具(ESC)固定於眞空室中。該夾具系統及 其組件之實例可見於美國專利第5,262,029及5,838,529號中 。加工氣體可以以各種方式如氣體喷嘴、氣體環、氣體分 布盤等加於室中。謗發性耦合之電漿反應器及其組件之溫 度控制氣體分布盤之實例見於美國專利第5,863,376號中。 除電漿室設備外,半導體基材加工用之其他設備包含傳輸 機構、氣體供給系統、内襯、升降機構、負荷鎖、門機構 、機械臂、固定器、等。該設備之組件會因半導體加工而 遭遇各種腐蚀條件。另外,對於半導體基材如碎晶圓’及 •4 本紙張中國國家標準(CNS) Α4規格(210X 297公釐) 裝 訂
線 1267563 A7 B7 五、發明説明(2 ) 介電材料如用於平板顯示器之玻璃基材之加工高純度要求 之觀點,在該環境下極需要具有改良腐蝕抗性之組件。 電漿反應器之壁、電極、基材支撐材、固定器及其他組 件一般均使用链及鋁合金。爲避免各金屬組件腐钱,曾提 出各種技術以各種塗料塗佈鋁之表面。例如,美國專利第 5,641,375號揭示經陽極化,以降低電漿腐蝕及壁磨損之鋁 室壁。’375專利敘述濺射或蝕刻最終之陽極化層,且須取 代室。美國專利第5,895,586號列出日本申請案公開第62-103379號中在鋁材料上形成Al2〇3,A1C,TiN,TiC,A1N等之 抗腐蝕薄膜之技術。 美國專利第5,680,013號列出在美國專利第4,491,496號中 揭示之蚀刻室之金屬表面上火焰噴佈八丨2〇3技術。該,〇13號 專利列出鋁及陶瓷塗層如氧化鋁間熱膨脹係數之差異,使 之因熱循環導致龜裂,最後使塗層在腐蝕環境中受損。爲 保濩室壁,美國專利第5,366,585、5,798,016及5,885,356號 提出内襯排列》例如,016號專利揭示陶瓷、鋁、鋼及/或石 英内襯,且針對機械加工性之難易以鋁較佳,且對於塗佈 鋁以提供鋁對電漿之保護,較好爲具有氧化鋁、Sc2〇3或 丫2〇3之塗層,且以氧化鋁較佳。,585專利揭示厚度至少爲 0.005封且由固態氧㈣機製之固定式陶⑥内襯。,585專 利亦提出可藉由火焰喷佈或電衆喷佈氧化銘在不消耗下層 銘下沉積陶竞層之應用。,356專利揭示氧化銘陶是内觀及 晶圓柱用之淡化銘陶瓷保缚差 充休邊美國專利第5,885,356號揭示 CVD室用之陶资内襯材料。 1267563 A7 B7 五 發明説明(3 各種塗層已被提出用於半導體加工設備用之金屬組件。 美國專利第5,879,523號揭示濺射室,其中氧化鋁之熱濺射 塗佈係以其間之選用NiAlx結合塗層塗佈於不銹鋼或鋁金屬 上。美國專利第5,522,932號及589,153號揭示其間具有選用 之鎳塗層之基材電漿加工用之裝置之金屬組件用之鍺塗層 。美國專利第5,680,013號揭示電漿加工室中之金屬表面用 之未鍵結陶瓷保護,較佳之陶瓷材料爲燒結之AIN,次佳 之材料包含氧化鋁、氟化鎂及氧化鎂。美國專利第 5,904,778號揭示用作室壁、室保護或環繞晶圓之c〇llar之固 定SiC上之SiCCVD塗層。 關於電漿反應器組件如噴霧頭氣體分佈系統,已針對喷 霧頭之材料提出各種提案。例如,美國專利第5,569,356號 揭示矽、石墨或碳化矽之喷頭。美國專利第5,494,713號揭 示在銘電極上形成之耐酸链薄膜,及在耐酸銘薄膜上之梦 塗層膜如氧化碎或氮化梦。’713號專利列出石夕塗層膜之厚 度應爲10微米或更低,較好約5微米,因爲鋁塗層膜、耐 酸鋁塗層膜及矽塗層膜具有不同之内襯膨脹係數,且在矽 塗層膜之厚度太厚時容易造成龜裂。然而,厚度低於5微米 並不適當,因爲對鋁基材之保護不足。美國專利第4,534,516 號揭示不銹鋼、鋁、銅等之上噴頭電極。美國專利第 4,612,077號揭示一種鎂之噴頭電極。美國專利第5,888,907 號揭示一種無定型碳、SiC或A1之噴頭電極。美國專利第 5,006,220號及5,022,979號揭示一種全部由SiC製成,或以碳 爲基礎藉由CVD沉積塗佈SiC得到高純度SiC表面層之噴頭 -6- 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐)
裝 訂
1267563 A7 B7 五、發明説明(4 ) 電極。 美國專利第5,952,060號敘述使用以碳爲主之塗層以保護 基材加工系統之内部室。該碳爲主之塗層爲厚度在丨微米至 5 0微米間之鑽石或似鑽石之碳塗層。美國專利第5,g 12,362 號敘述在靜電刷上使用鑽石薄膜,其中之鑽石薄膜之厚度 爲5至5 0微米。各此等專利均揭示選擇性的使用極薄之鑽 石與似鑽石之塗層。
其他專利如美國專利第 5,308,661 ,· 5,393,572,· 5,846,613; 5,989,511及6,015,597亦揭示鑽石或似鑽石之塗層。此等專 利中並沒有一專利敘述在電漿反應器中使用該塗層。 裝 針對半導體加工設備之組件用之高純度及腐蝕抗性之需 求,技藝中需要對該組件所用之材料及/或塗層進行改良。 再者,關於室之材料,任一種可增加電漿反應器室之使用 訂
壽命,且因此降低裝置之停工期之材料均可有利的用於降 低半導體晶圓之加工成本。 發明概要 依據本發明之第一目的爲在半導體加工設備組件之表面 上提供一種含抗腐蝕鑽石或含似鑽石塗層之方法。該方法 包含使鑽石或含似鑽石之塗層沉積在加工設備組件之表面 上,以形成抗外部腐蝕之表面。抗腐蝕之表面意指可保護 下層之材料免受%漿至乳體之腐蝕作用,同時使塗層可抗 電漿室氣體之腐蝕。欲塗佈之製程設備組件之下表面可包 括金屬、㈣或聚合物材料,且較佳之材料爲陽極化之铭
1267563
較佳具_中,τ在半導體加工設備之表面&绩石或含 似缚石塗層之間使用_或多層之中間金屬、陶t或聚合物 塗層。可塗佈之金屬表面包含電漿室中所用之陽極化或未 陽極化ι鋁、不銹鋼、耐火金屬如鉬或其他金屬或合金。 :塗佈之金屬表面包含氧化鋁、Sic、αιν、_4、bc或他 電漿可相容之陶瓷材料。可塗佈之聚合物表面包含氟聚合 物,如Teflon®,聚亞醯胺如Vespel®及其他在溫度低於2〇〇 C下於電漿室中使用之聚合物材料。 依據本發明之目的係提供一種金屬組件。該組件包含: (a)金屬表面;金屬表面上選用之第一種中間塗層; (c)在第一中間物塗層上或在金屬表面上選用之第二種中 間塗層;及在該組件上之鑽石或含似鑽石之塗層,其可提 供抗腐蝕之外表面。第一及第二中間塗層之各層可爲金屬 或其合金、陶瓷、聚合物或電漿室反應器中所用之 混合物或複合材。 依據本發明另一目的係提供一種由鑽石或含似鑽石材料 製成之半導體加工設備組件。該組件可包含任一或多層之 該設備中用之塗層。 附圖之簡要敘沭 本發明之目的及優點由下列其較佳具體例之詳細敘述配 合附圖將變得顯而易見,其中: 圖1爲具有塗佈本發明抗腐蚀塗層組件之電衆反應器室之 簡要剖面圖。 圖2詳細顯示圖1之抗腐蝕塗層之細節。 -8 - 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 1267563 A7 _____B7 五、發明説明(6 ) 本發明較佳具體例之詳細敘述 本發明提供一種有效之方法,該方法係藉由使用抗腐蝕 塗層對半導體加工裝置,如電漿加工反應器室之組件之金 屬、陶瓷及聚合物表面提供腐蝕抗性。該組件包含室壁、 基材支撐物、包含喷頭、檔板、環、喷嘴等之氣體分散系 統、固定器、加熱元件、電漿濾網、内襯、傳輸模組組件 、如機械臂、固定器、室之内及外壁,等。 雖然本發明用於可用於具有金屬、陶瓷或聚合物表面之 任一類型組件,但爲方便説明起見,本發明將參考美國專 利第5,820,723號中敘述之裝置詳細説明,該專利在此提出 供參考。 圖1説明眞空加工反應器室10,包含對基材60提供靜電 夾緊力以及對基材提供RF偏斜同時以He回冷卻之基材支 撐器70。聚集環72將電漿圍在基材60上之區域中。使室中 之電漿維持南密度(例如10^-1012離子/ cm3 )之能量源,如 以適當之R F電源施加電力以提供高密度電衆之天線4 〇係配 置在反應器室10之上方。該室包含藉由中心配置在室之底 部之眞空抽空槽20將反應室抽眞空,使室之内部3〇維持在 所需壓力(例如低於50 mTorr,一般爲le2〇 mT〇rr)i適用眞 空泵浦裝置。 裝置在天線40及加工室10之間之均勻厚度之實質平面之 介電視窗50在加工室10之上方形成眞空壁。視窗2q之下方 裝置乱體分佈板52 ’且包含開口如圓形之洞,以輸送氣體 供給室之加工氣體到達室10中。圓錐形内襯54自氣體分佈
1267563
板延伸,且環繞基材支撐材7〇。 操作時,係將半導體基材如矽晶圓6〇置於基材支撐材7〇 (上,且一般係藉由靜電夾具74固定,同時使用He回冷。 接著藉由使製程氣體通過視窗5 〇及氣體分佈板5 2間之間隙 ,將加工氣體供給至眞空加工室10中。適當之氣體分散板 排列(亦即噴頭)係揭示於美國專利第5 824 6〇5; 6 〇48,798; 及5,863,376號中,該揭示均在此提出供參考。例如,雖然 圖1中之視窗及氣體分散板排列爲平面且厚度均勻,但非平 面及/或不均勻厚度之形狀亦可用作視窗及/或玻璃分散板 。藉由對天線40施加適當之RF電力激發基材及視窗間之空 間中之高密度電漿。 室壁28如陽極化或未經陽極化之鋁壁及金屬、陶瓷或聚 合物組件如基材支撐材7〇、固定件56、内襯54等(其均暴 露於電漿中且呈現腐蝕現象)均可依本發明塗佈,因此可避 免在電漿室操作過程中需將其遮蔽。可塗佈之金屬及/或合 金之實例包含陽極化或未經陽極化之鋁及其合金、不銹鋼 、耐火金屬如W及Mo及其合金、銅及其合金等。可塗佈之 陶瓷表面實例包含氧化鋁、sic,A1N,Si3N4, BC及Ti〇2。市 售可塗佈之聚合物材料實例包含氟聚合物如丁en〇n®,聚亞 醯胺如Vespel®及其他在溫度達2〇〇°C時用於電漿室中之聚 合物材料。較佳具體例中,欲塗佈之組件爲具有陽極化或 未將陽極化鋁表面29之室壁28。本發明之塗層可使用鋁合 金,不管其組成(因此除高純度鋁外,可使用更經濟之鋁合 金)、晶粒結構或表面條件。下列敘述中,欲塗佈組件之實 -10- 本纸張尺度適用中國國家標準(CNS) A4規格(21〇X297公釐) 1267563
例爲具有第一選用之中間塗層80、第^選用之中間塗層90 及含鑽石之塗層100之鋁反應室壁28,如圖2中之説明。 爲確保塗佈材料之良好黏著,鋁基材28之表面在塗佈前 較好充刀的π潔,以移除表面物質如氧化物或油脂。另外 ,最好使基材表面變粗糙,使基材表面陽極化,且在塗佈 任一所需塗層前使陽極化之基材表面變粗。 依據本發明,第一中間塗層8〇可以以一般技術,視情況 塗佈在鋁側壁2 8上。選用之第一中間塗層8 〇足夠厚以便附 著於基材上,且在形成選用之第二中間塗層9〇或下述之含 鑽石塗層之前進一步加工。第一中間塗層8〇可具有任一適 當之厚度如厚度至少約0·001英吋,較好爲約〇 〇〇1至約〇 25 英叶’更好在0.001至0 1英吋之間,且最好爲〇〇〇1至〇 〇5 英忖。 將選用之第一中間塗層8〇沉積在鋁基材28上之後,可以 以任一適用之技術使電鍍終止或變粗,接著覆蓋塗佈第二 選用之塗層90或含鑽石之塗層100〇打粗之層8()提供特別 好之結合。期望上,第二中間塗層9 〇對塗層8 〇賦予高的機 械壓縮強度,且使塗層90中裂缝之形成爲最小。 選用之第二中間塗層90夠厚以黏著第一中間塗層8〇,且 再形成任一額外之中間塗層或下述之外部含鑽石或似鑽石 塗層100之前進一步加工。第二中間塗層90可具有任一適 當之厚度如厚度至少爲約0.001英吋,較好爲約〇 〇〇1至約 0.25英吋,更好在0·〇〇1至〇·1英吋之間,且最好爲〇〇〇1至 0.05英吋。 -11 · 本紙張尺度適用中國國家標準(CNS) Α4規格(210X297公釐) 1267563
弟 中所用之材料^塗層可由任一種或多種一般電漿加工室 物。最佳之含=材料之實例包含金屬、陶資及聚合 複合材或合全種或多種耐火金屬、含該金屬之 A1N Ti0 菩 \ 〈陶资包含 Al2〇3, SiC,礼IBC, 亞醯胺4 1 °取佳〈聚合物包含氟聚合物如Teflon<",聚 espel<",及其他在溫度達2〇o°c時用於電漿室中 口材料。可用作中間層之特殊材料亦包含含填料之 二u其他硬質碳之材料如鑽石及似鑽石之材料;例如 給姮、鈇及/或石夕之碳化物、硼化物、氮化物及/或碳氮 物灭化硼,氮化硼;碳氮化硼;氧化結,·氧化釔或任 一上述材料之混合物。 期望選用之第一及第二中間層80及90爲上述材料之任一 種,使塗層依所需之性質爲相同或不同。亦期望可使用相 同或不同材料之額外中間塗層如第三、第四或第五中間塗 層0 含鑽石之塗層100沉積在選用之第二中間塗層90上,或在 選用之第一中間塗層80上,或在鋁基材28上。含鑽石塗層 之厚度期望至少爲0.001英吋,較好爲約0.001至約025英吋 ,更好在0.001至0.1英吋之間,且最好爲0·001至0 05英叶 。最希望者爲鑽石或似鑽石之塗層之厚度係用於對下層, 尤其是對基材提供暴露於腐蝕之室氣體下之明顯時段之侵 蝕及/或腐蝕保護。更好,塗層均等於或大於0.001英吋, 且最好在約0.002至0.010英吋厚之間。含鑽石或似鑽石之塗 層100可經選擇使之與反應器中遇到之電漿環境(例如蝕刻 件CVf等)相容。該讀石之層可塗佈在上述反 件〈全部或部分上。 主戎組 。靖石〈塗層在本文中意指含鑽石或似鑽石材料之 。似罐石之材料爲具有許多靖石性質,但並非全部性= 以碳爲主之材料。此等材料包含無定型碳、硬質碳、及α· 碳。較佳之似辨石材料爲硬度超過鑽石硬度之,較: ,過鑽石硬度之7〇%之非結晶碳材料。所有此種意指鑽石 或似鑽石之塗層此後通稱之爲含鑽石之塗層。 針對所需之厚度,最好使用經掺雜或與其他保護材料昆 合之讀石塗層,使得含鑽石之塗層爲费石或似鑽石材二 及其他㈣用材料之複合材/該材料可包含任_種或多種 用於-般電漿加王室中所用之材料。該材料之實例包含任 一種或多種金屬、陶资及聚合物。最佳之金屬包含任二種 或多種耐火金屬含該金屬之複合材或合金。最佳之陶资包 fAl203, SiC,Si3N4, BC,Am, Ti〇e。最佳之聚合物包含 氟聚合物如Teflon®、聚亞醯胺如Vespel®及在達到2〇〇ec之 狐度下可用於電漿室中之其他聚合物材料。相信大部分所 需之材料將單獨包含鑽石或似鑽石之材料;例如給、妲、 鈦及/或矽之碳化物、硼化物、氮化物及/或碳氮化物;碳 化硼;氮化硼;碳氮化硼;氧化鲒;氧化釔或任一上述材 料之混合物。 如上述,本發明之含鑽石塗層可以以其他保護性材料摻 雜。其他保濩性材料之摻雜濃度較好低於或等於鑽石塗層 之1重量%,更好在鑽石塗層之約100叩111至i重量%之間, 1267563 A7
且最好在鑽石塗層之約〇 〇〇1至〇丨重量%之間。 本發明之含鑽石塗層亦可爲具有其他保護材料之複合材 。所需之複合材具有含鑽石塗層之連續基質相。其他保護 材料之複合材濃度需要大於塗層重量%,更好爲塗 層心約2 0至8 0 %,且最好爲塗層之約4 〇至6 〇 %。部分例中 (尤其是成本特別重要之例),鑽石或似鑽石之塗層%極低 ,例如低於塗層之2〇、1〇、5及1重量。/。。 一
本發明之含鑽石塗層可藉由已知之塗佈技術,如熱噴佈 、電漿噴佈,化學蒸氣沉積、昇華、雷射蒸發、濺、、濺 射沉積、離子束塗佈、喷霧塗佈、浸潰塗佈、蒸發、滾塗 '刷塗、等沉積在所需表面上。期望具有或不含其他材料 裝 心中間層之一或多層含鑽石塗層使用該以之方法沉積在所 需表面上。 訂 依本發明之另一目的係提供一種由含鑽石材料製成之半 導體加工設備組件。該組件可包含一般用於該組件中之一 或多層塗層。 藉由使用本發明之含鑽石塗層或組件,較好得到超硬、 抗腐蚀之表面。該塗層或組件較好不含與加工室氣體反應 之材料,且爲化學惰性,使其顆粒污染低或沒有,腐蝕低 或沒有、金屬之污染低或沒有,及/或揮發性石刻產物少或 沒有。 較好含鑽石之塗層或組件至於會或不會暴露在電漿環境 中之區域,如零件與電漿直接接觸,或零件在室組件之中 如内槪寺,以避免在反應器室中加工之半導體基材受到 -14· 本紙張尺度逋用中國國家標準(CNS) A4規格(210 X 297公釐) 1267563 A7 B7 五、發明説明〇2 ) 金屬之/亏染。取好限制或排除過度金屬之粉塵;例如週期 表中元素21至29(钪至銅)、39至47(釔至銀)、57至79(鑭 至金)及自89(4可)之所有已知元素之一種或多種。因此,依 據本發明之一優點,可藉由壓制侵蝕或腐蝕形成之該粉麈 降低沉積之薄膜中不滿意之蝕刻或不希望形成之針孔。 雖然本發明已經參考其特定之具體例詳細敘述,但熟習 本技藝者應了解可進行各種改變及改良及使用對等物,且 均不離本發明之範圍。 -15- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐)

Claims (1)

  1. I267|65i3〇〇〇3號專利申請案 Α8 中文申請專利範圍替換本车7 EM S
    其中該組件知該表 其中該表面為陽極 2 ·如申請專利範園第1項之塗佈方法 面包括金屬、陶瓷或聚合物表面。 3 ·如申請專利範圍第2項之塗佈方法 化之銘。 4 ·如申請專利範圍第1項之塗佈方法,其中一層第一中間 塗層係於表面上。 其中該第一中間塗 其中該組件包括電 5 ·如申請專利範圍第4項之塗佈方法 層包括金屬、陶瓷或聚合物塗層。 6·如申請專利範圍第1項之塗佈方法 漿蚀刻室之室壁。 7. 如申請專利範圍第i項之塗佈方法,尚包括在該組件上 形成粗糖表面該含鑽石纟材料沉積在該粗链表面之 8. 如申請專利範圍第i項之塗饰方法,其中該含鑽石之材 料包括無定型四面形碳。 9·如中請專利範圍第i項之塗佈方法’其中該含鑽石之材 料包括至少一種除鑽石及似鑽石材料以外之材料。 .如申請專利範圍第9項之塗佈方法’其中該其他材料為 反射金屬或聚合物。 U·如"專·圍第1G項之塗佈方法,其中該其他材料包 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 1267563 A8 B8 C8 D8 申請專利範圍 含鈦或矽。 12. 如申請專利範圍第n項之塗佈方法,其中該其他材料包 含碳化鈦、硼化鈦、氮化鈦、碳化矽、硼化矽、氮化矽 或其混合物。 13. 如申請專利範圍第9項之塗佈方法’其中該含鑽石之材 料係以該至少一種其它材料摻雜。 Μ.如申請專利範圍第9項之塗佈方法,其中該含鑽石之材 料為鑽石或似鑽石材料及該其它材料之複合材形式。 15·如申請專鄉圍第!項之塗佈方法,其中該含鑽石之材 料係以化學蒸氣沉積、電漿噴佈、昇華、雷射蒸發、嘰 射;濺射沉積、離子束塗佈、噴霧塗佈、浸潰塗佈、篆 發塗佈、滾動塗佈或刷塗沉積。 4 16· —種半導體加工設備之組件,其包括暴露於設備之電漿 中的含鑽石材料,該含鑽石材料具有厚度至少 付0 如申請專利範圍第16項之組件,其中該含鑽 手 置於一金屬、陶資或聚合物表面。 系佈 Κ如申請專利範圍第17項之組件,其中該表面為陽極化之 其中一層第一中間塗層 其中該組件包括電漿蝕 其中該含鑽石之材料包 1 9 ·如申請專利範圍第1 6項之組件 係於表面上。 2 〇 ·如申睛專利範圍第1 6項之組件, 刻室之室壁。 2 1 ·如申凊專利範圍第1 6項之組件 -2 - A8 B8 C8
    1267563 括無定型四面形碳。 2 2 ·如申凊專利範圍第i 6項之組件,其中八 括至少-種除_及_石材料以外石之材料包 2 3 ·如申清專利範圍第2 2項之組件, 、、 金屬或聚合物。 一孩其他材料為反射 24.如申請專利範圍第23項之組件,並 或矽。 ,、中邊其他材料包含鈦 2 5 ·如申請專利範圍第2 4項之組件,並―、 化献、硼化鈥、氮錢、他材料包含碳 混合物。 則匕矽、氦化梦或其 2 6 ·如申請專利範圍第丨6項之組件,其本 /、丫点δ鑽石之材料彳系 以至少一種其它材料摻雜。 可竹係 27.如申請專利範圍第22項之組件,其中該含攀石 为 鑽石或似鑽石材料及該至少-種除鑽石或似鑽石材料以 外之材料之複合材形式。 Μ.如申請專利範圍第16項之組件,尚包括一或多層 含鑽*石塗層。 29·如申請專利範圍第16項之組件,其中鑽石或似鑽石之材 料形成該含鑽石材料之連續基質相。 30.如申請專利範圍第16項之組件,其中該含鑽石材料包各 鑽石或似鑽石材料及20至80重量%之至少一種額外材^ 31.如申請專利範圍第16項之組件,其中該全部之組件係由 該含鑽石之材料製成。
    I267563 園 、申請專利範 2·:申請專利範圍第4項之塗佈方法,其另包括沉 夕層額外之中間塗層。 、或 •如申請專利範圍第19項之組件,其 之中間塗層。 ^ ^層頭外 • 請專利範圚第16項之組件,其中該含鑽石材料包含 4少一種其它材料,其係選自由反射金屬、聚合物、碳 物、氮化物、碳氮化物、氧化錐、氧化釔、Ti〇2及 A 1 2 0 3所組成之群。 -4- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐)
TW90130003A 2000-12-29 2001-12-04 Diamond coatings on reactor wall and method of manufacturing thereof TWI267563B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/749,925 US6537429B2 (en) 2000-12-29 2000-12-29 Diamond coatings on reactor wall and method of manufacturing thereof

Publications (1)

Publication Number Publication Date
TWI267563B true TWI267563B (en) 2006-12-01

Family

ID=25015793

Family Applications (1)

Application Number Title Priority Date Filing Date
TW90130003A TWI267563B (en) 2000-12-29 2001-12-04 Diamond coatings on reactor wall and method of manufacturing thereof

Country Status (10)

Country Link
US (1) US6537429B2 (zh)
EP (1) EP1358363B1 (zh)
JP (1) JP4358509B2 (zh)
KR (1) KR100853972B1 (zh)
CN (1) CN1284880C (zh)
AT (1) ATE401430T1 (zh)
AU (1) AU2002228604A1 (zh)
DE (1) DE60134896D1 (zh)
TW (1) TWI267563B (zh)
WO (1) WO2002054454A2 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI737686B (zh) * 2016-03-03 2021-09-01 美商蘭姆研究公司 包含具有高純度sp3 鍵之化學氣相沉積鑽石塗層的電漿處理系統用之邊緣環等元件
US11434565B2 (en) 2016-04-05 2022-09-06 Kanto Denka Kogyo Co., Ltd. Cleaning method of semiconductor manufacturing device

Families Citing this family (385)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3555844B2 (ja) * 1999-04-09 2004-08-18 三宅 正二郎 摺動部材およびその製造方法
KR20010062209A (ko) * 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
US6620520B2 (en) * 2000-12-29 2003-09-16 Lam Research Corporation Zirconia toughened ceramic components and coatings in semiconductor processing equipment and method of manufacture thereof
US6613442B2 (en) * 2000-12-29 2003-09-02 Lam Research Corporation Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof
JP2003231203A (ja) * 2001-08-21 2003-08-19 Toshiba Corp 炭素膜被覆部材
DE10163171A1 (de) * 2001-12-21 2003-07-03 Solvay Fluor & Derivate Neue Verwendung für Legierungen
KR100460143B1 (ko) * 2002-08-02 2004-12-03 삼성전자주식회사 반도체 제조설비용 프로세스 챔버
US7147749B2 (en) * 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US7166200B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US7137353B2 (en) * 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US7204912B2 (en) 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US7166166B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US6837966B2 (en) * 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US6798519B2 (en) 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US6969198B2 (en) * 2002-11-06 2005-11-29 Nissan Motor Co., Ltd. Low-friction sliding mechanism
US7780786B2 (en) 2002-11-28 2010-08-24 Tokyo Electron Limited Internal member of a plasma processing vessel
US7866343B2 (en) 2002-12-18 2011-01-11 Masco Corporation Of Indiana Faucet
US7866342B2 (en) 2002-12-18 2011-01-11 Vapor Technologies, Inc. Valve component for faucet
US8555921B2 (en) 2002-12-18 2013-10-15 Vapor Technologies Inc. Faucet component with coating
US8220489B2 (en) 2002-12-18 2012-07-17 Vapor Technologies Inc. Faucet with wear-resistant valve component
US20040134427A1 (en) * 2003-01-09 2004-07-15 Derderian Garo J. Deposition chamber surface enhancement and resulting deposition chambers
KR20050094436A (ko) * 2003-01-27 2005-09-27 동경 엘렉트론 주식회사 패스닝 하드웨어를 개선하기 위한 방법 및 장치
WO2004095532A2 (en) * 2003-03-31 2004-11-04 Tokyo Electron Limited A barrier layer for a processing element and a method of forming the same
JP3891433B2 (ja) * 2003-04-15 2007-03-14 日産自動車株式会社 燃料噴射弁
EP1479946B1 (en) * 2003-05-23 2012-12-19 Nissan Motor Co., Ltd. Piston for internal combustion engine
EP1482190B1 (en) * 2003-05-27 2012-12-05 Nissan Motor Company Limited Rolling element
JP2005008851A (ja) * 2003-05-29 2005-01-13 Nissan Motor Co Ltd 硬質炭素薄膜付き機械加工工具用切削油及び硬質炭素薄膜付き機械加工工具
JP4863152B2 (ja) * 2003-07-31 2012-01-25 日産自動車株式会社 歯車
WO2005014761A2 (ja) * 2003-08-06 2005-02-17 Nissan Motor Co., Ltd. 低摩擦摺動機構、低摩擦剤組成物及び摩擦低減方法
JP2005054617A (ja) * 2003-08-08 2005-03-03 Nissan Motor Co Ltd 動弁機構
JP4973971B2 (ja) * 2003-08-08 2012-07-11 日産自動車株式会社 摺動部材
JP4117553B2 (ja) * 2003-08-13 2008-07-16 日産自動車株式会社 チェーン駆動装置
US7771821B2 (en) * 2003-08-21 2010-08-10 Nissan Motor Co., Ltd. Low-friction sliding member and low-friction sliding mechanism using same
EP1508611B1 (en) 2003-08-22 2019-04-17 Nissan Motor Co., Ltd. Transmission comprising low-friction sliding members and transmission oil therefor
US7824498B2 (en) * 2004-02-24 2010-11-02 Applied Materials, Inc. Coating for reducing contamination of substrates during processing
US7119032B2 (en) * 2004-08-23 2006-10-10 Air Products And Chemicals, Inc. Method to protect internal components of semiconductor processing equipment using layered superlattice materials
US7552521B2 (en) * 2004-12-08 2009-06-30 Tokyo Electron Limited Method and apparatus for improved baffle plate
US7601242B2 (en) * 2005-01-11 2009-10-13 Tokyo Electron Limited Plasma processing system and baffle assembly for use in plasma processing system
US20060225654A1 (en) * 2005-03-29 2006-10-12 Fink Steven T Disposable plasma reactor materials and methods
US20060280946A1 (en) * 2005-05-20 2006-12-14 United Technologies Corporation Metal-containing diamond-like-carbon coating compositions
CN1870863B (zh) * 2005-05-28 2011-06-08 鸿富锦精密工业(深圳)有限公司 便携式电子装置外壳及其制作方法
JP4817102B2 (ja) * 2005-10-03 2011-11-16 麒麟麦酒株式会社 ダイヤモンド状炭素薄膜、それを表面に成膜したプラスチックフィルム及びガスバリア性プラスチックボトル
KR100757347B1 (ko) * 2006-08-30 2007-09-10 삼성전자주식회사 이온 주입 장치
US20080169183A1 (en) * 2007-01-16 2008-07-17 Varian Semiconductor Equipment Associates, Inc. Plasma Source with Liner for Reducing Metal Contamination
KR100773486B1 (ko) * 2007-05-23 2007-11-05 주식회사 넥스텍 표면이 코팅된 폴리쉬 캐리어 및 이의 코팅 방법
US8187414B2 (en) * 2007-10-12 2012-05-29 Lam Research Corporation Anchoring inserts, electrode assemblies, and plasma processing chambers
JP2009123795A (ja) * 2007-11-13 2009-06-04 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
ES2736731T3 (es) 2008-07-18 2020-01-07 Suneeta Neogi Método para producir recubrimientos de diamante nanocristalino sobre piedras preciosas
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110076400A1 (en) * 2009-09-30 2011-03-31 Applied Materials, Inc. Nanocrystalline diamond-structured carbon coating of silicon carbide
DE102009044876A1 (de) 2009-12-14 2011-06-16 Elmar Hans Schmidbauer Gmbh & Co. Kg Verwendung von Funktionselementen mit Diamantbeschichtung auf Zwischenschichten auf Stahlsubstraten als Funktions- elemente mit Schutzbeschichtung gegen Kavitationserosion
CN102534611A (zh) * 2010-12-27 2012-07-04 鸿富锦精密工业(深圳)有限公司 壳体及其制造方法
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
FI123883B (fi) * 2011-09-16 2013-11-29 Picodeon Ltd Oy Kohtiomateriaali, pinnoite ja pinnoitettu esine
CN102456568A (zh) * 2011-09-29 2012-05-16 上海华力微电子有限公司 一种淀积掺氮碳化硅薄膜的方法
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US20140099794A1 (en) * 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
EP3056069A4 (en) * 2013-10-07 2017-06-21 Aeonclad Coatings, LLC Low-cost plasma reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
CN105986245A (zh) * 2015-02-16 2016-10-05 中微半导体设备(上海)有限公司 改善mocvd反应工艺的部件及改善方法
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US20160362782A1 (en) * 2015-06-15 2016-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gas dispenser and deposition apparatus using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6851217B2 (ja) * 2017-02-16 2021-03-31 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
CN107267952B (zh) * 2017-05-05 2023-05-23 宁波工程学院 一种化学气相沉积镀制金刚石膜的方法
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US20190119815A1 (en) * 2017-10-24 2019-04-25 Applied Materials, Inc. Systems and processes for plasma filtering
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
KR102159224B1 (ko) * 2018-07-17 2020-09-23 주식회사 마스터 포커스 링, 그 제조 방법, 및 기판 처리 장치
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
WO2021154474A1 (en) * 2020-01-30 2021-08-05 Exxonmobil Chemical Patents Inc. Autoclave reactor system comprising an agitator with polycrystalline diamond bearings
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN114686848B (zh) * 2020-12-29 2024-05-14 中微半导体设备(上海)股份有限公司 半导体零部件、半导体处理装置及形成耐腐蚀涂层的方法
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN115819118B (zh) * 2022-11-25 2023-09-22 湖南柯盛新材料有限公司 抗氧化涂层和含有抗氧化涂层的石墨模具及其制备方法

Family Cites Families (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4340462A (en) 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
FR2538987A1 (fr) 1983-01-05 1984-07-06 Commissariat Energie Atomique Enceinte pour le traitement et notamment la gravure de substrats par la methode du plasma reactif
US4534816A (en) 1984-06-22 1985-08-13 International Business Machines Corporation Single wafer plasma etch reactor
US4612077A (en) 1985-07-29 1986-09-16 The Perkin-Elmer Corporation Electrode for plasma etching system
JPS62103379A (ja) 1985-10-29 1987-05-13 Showa Alum Corp Cvd装置およびドライ・エツチング装置における真空チヤンバの製造方法
JPS6413404A (en) * 1987-07-07 1989-01-18 Sumitomo Electric Industries Opening and closing state detection sensor
JPH0741153Y2 (ja) 1987-10-26 1995-09-20 東京応化工業株式会社 試料処理用電極
US5262029A (en) 1988-05-23 1993-11-16 Lam Research Method and system for clamping semiconductor wafers
US4948458A (en) 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
JPH0656842B2 (ja) 1990-04-30 1994-07-27 インターナシヨナル・ビジネス・マシーンズ・コーポレーシヨン 導電性ダイヤモンド被覆表面を有するプラズマ反応チャンバ
GB2243577A (en) 1990-05-07 1991-11-06 Compeq Manufacturing Co Limite A method of bonding copper and resin
US5268200A (en) * 1990-05-21 1993-12-07 Applied Materials, Inc. Method of forming plasma etch apparatus with conductive coating on inner metal surfaces of chamber to provide protection from chemical corrosion
US5200232A (en) 1990-12-11 1993-04-06 Lam Research Corporation Reaction chamber design and method to minimize particle generation in chemical vapor deposition reactors
US5989511A (en) 1991-11-25 1999-11-23 The University Of Chicago Smooth diamond films as low friction, long wear surfaces
DE4300223A1 (de) 1993-01-07 1994-07-14 Hora Heinrich Erosionsfeste Überzüge an Innenwänden von Plasmabehältern
US5366585A (en) 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
US5308661A (en) 1993-03-03 1994-05-03 The Regents Of The University Of California Pretreatment process for forming a smooth surface diamond film on a carbon-coated substrate
US5522932A (en) 1993-05-14 1996-06-04 Applied Materials, Inc. Corrosion-resistant apparatus
US5891253A (en) 1993-05-14 1999-04-06 Applied Materials, Inc. Corrosion resistant apparatus
JP3308091B2 (ja) 1994-02-03 2002-07-29 東京エレクトロン株式会社 表面処理方法およびプラズマ処理装置
US5798016A (en) 1994-03-08 1998-08-25 International Business Machines Corporation Apparatus for hot wall reactive ion etching using a dielectric or metallic liner with temperature control to achieve process stability
US5680013A (en) 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
KR100331053B1 (ko) 1994-05-17 2002-06-20 가나이 쓰도무 플라즈마처리장치및플라즈마처리방법
US5393572A (en) 1994-07-11 1995-02-28 Southwest Research Institute Ion beam assisted method of producing a diamond like carbon coating
US5641375A (en) 1994-08-15 1997-06-24 Applied Materials, Inc. Plasma etching reactor with surface protection means against erosion of walls
FR2726579A1 (fr) 1994-11-07 1996-05-10 Neuville Stephane Procede de depot d'un revetement protecteur de type pseudo carbonne diamant amorphe
US5885356A (en) 1994-11-30 1999-03-23 Applied Materials, Inc. Method of reducing residue accumulation in CVD chamber using ceramic lining
US5569356A (en) 1995-05-19 1996-10-29 Lam Research Corporation Electrode clamping assembly and method for assembly and use thereof
US5824605A (en) 1995-07-31 1998-10-20 Lam Research Corporation Gas dispersion window for plasma apparatus and method of use thereof
US5838529A (en) 1995-12-22 1998-11-17 Lam Research Corporation Low voltage electrostatic clamp for substrates such as dielectric substrates
JP3360265B2 (ja) 1996-04-26 2002-12-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US5820723A (en) 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US6048798A (en) 1996-06-05 2000-04-11 Lam Research Corporation Apparatus for reducing process drift in inductive coupled plasma etching such as oxide layer
US5863376A (en) 1996-06-05 1999-01-26 Lam Research Corporation Temperature controlling method and apparatus for a plasma processing chamber
US5812362A (en) 1996-06-14 1998-09-22 Applied Materials, Inc. Method and apparatus for the use of diamond films as dielectric coatings on electrostatic chucks
US5952060A (en) 1996-06-14 1999-09-14 Applied Materials, Inc. Use of carbon-based films in extending the lifetime of substrate processing system components
US5904778A (en) 1996-07-26 1999-05-18 Applied Materials, Inc. Silicon carbide composite article particularly useful for plasma reactors
US5879523A (en) 1997-09-29 1999-03-09 Applied Materials, Inc. Ceramic coated metallic insulator particularly useful in a plasma sputter reactor
US6015597A (en) 1997-11-26 2000-01-18 3M Innovative Properties Company Method for coating diamond-like networks onto particles
US5916370A (en) 1998-06-12 1999-06-29 Applied Materials, Inc. Semiconductor processing chamber having diamond coated components
JP2000012523A (ja) * 1998-06-22 2000-01-14 Fujitsu Ltd 半導体装置の製造方法
US6508911B1 (en) 1999-08-16 2003-01-21 Applied Materials Inc. Diamond coated parts in a plasma reactor

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI737686B (zh) * 2016-03-03 2021-09-01 美商蘭姆研究公司 包含具有高純度sp3 鍵之化學氣相沉積鑽石塗層的電漿處理系統用之邊緣環等元件
TWI793701B (zh) * 2016-03-03 2023-02-21 美商蘭姆研究公司 包含具有高純度sp3鍵之化學氣相沉積鑽石塗層的電漿處理系統用之邊緣環等元件
US11434565B2 (en) 2016-04-05 2022-09-06 Kanto Denka Kogyo Co., Ltd. Cleaning method of semiconductor manufacturing device

Also Published As

Publication number Publication date
US20020086501A1 (en) 2002-07-04
KR100853972B1 (ko) 2008-08-25
WO2002054454A3 (en) 2002-09-12
WO2002054454A2 (en) 2002-07-11
EP1358363A2 (en) 2003-11-05
EP1358363B1 (en) 2008-07-16
KR20030063475A (ko) 2003-07-28
ATE401430T1 (de) 2008-08-15
JP2004526054A (ja) 2004-08-26
JP4358509B2 (ja) 2009-11-04
CN1284880C (zh) 2006-11-15
AU2002228604A1 (en) 2002-07-16
CN1488009A (zh) 2004-04-07
DE60134896D1 (de) 2008-08-28
US6537429B2 (en) 2003-03-25

Similar Documents

Publication Publication Date Title
TWI267563B (en) Diamond coatings on reactor wall and method of manufacturing thereof
TW514989B (en) Carbonitride coated component of semiconductor processing equipment and method of manufacture thereof
TW533494B (en) Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof
KR100916952B1 (ko) 반도체 처리 장비의 풀러린 코팅 컴포넌트
TW548737B (en) Zirconia toughened ceramic components and coatings in semiconductor processing equipment and method of manufacture thereof
JP4608159B2 (ja) 半導体処理装置の耐腐食性部材およびその製造方法
TW569335B (en) Cerium oxide containing ceramic components and coatings in semiconductor processing equipment and methods of manufacture thereof
TW201945321A (zh) 用於電漿環境中的腔室部件的氧化釔-二氧化鋯耐蝕材料

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees