JP4608159B2 - 半導体処理装置の耐腐食性部材およびその製造方法 - Google Patents

半導体処理装置の耐腐食性部材およびその製造方法 Download PDF

Info

Publication number
JP4608159B2
JP4608159B2 JP2001506301A JP2001506301A JP4608159B2 JP 4608159 B2 JP4608159 B2 JP 4608159B2 JP 2001506301 A JP2001506301 A JP 2001506301A JP 2001506301 A JP2001506301 A JP 2001506301A JP 4608159 B2 JP4608159 B2 JP 4608159B2
Authority
JP
Japan
Prior art keywords
nickel
coating
ceramic coating
plating
phosphorous plating
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2001506301A
Other languages
English (en)
Other versions
JP2003503597A (ja
JP2003503597A5 (ja
Inventor
ロバート, ジェイ. ステガー,
クリス チャン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2003503597A publication Critical patent/JP2003503597A/ja
Publication of JP2003503597A5 publication Critical patent/JP2003503597A5/ja
Application granted granted Critical
Publication of JP4608159B2 publication Critical patent/JP4608159B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/30Coatings combining at least one metallic layer and at least one inorganic non-metallic layer
    • C23C28/32Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one pure metallic layer
    • C23C28/321Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one pure metallic layer with at least one metal alloy layer
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/31Coating with metals
    • C23C18/32Coating with nickel, cobalt or mixtures thereof with phosphorus or boron
    • C23C18/34Coating with nickel, cobalt or mixtures thereof with phosphorus or boron using reducing agents
    • C23C18/36Coating with nickel, cobalt or mixtures thereof with phosphorus or boron using reducing agents using hypophosphites
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/30Coatings combining at least one metallic layer and at least one inorganic non-metallic layer
    • C23C28/34Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one inorganic non-metallic material layer, e.g. metal carbide, nitride, boride, silicide layer and their mixtures, enamels, phosphates and sulphates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/30Coatings combining at least one metallic layer and at least one inorganic non-metallic layer
    • C23C28/34Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one inorganic non-metallic material layer, e.g. metal carbide, nitride, boride, silicide layer and their mixtures, enamels, phosphates and sulphates
    • C23C28/345Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one inorganic non-metallic material layer, e.g. metal carbide, nitride, boride, silicide layer and their mixtures, enamels, phosphates and sulphates with at least one oxide layer

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Coating By Spraying Or Casting (AREA)
  • Chemically Coating (AREA)
  • Other Surface Treatments For Metallic Materials (AREA)

Description

【0001】
(発明の背景)
(発明の分野)
本発明は半導体処理装置およびその部材の耐腐食性を改善する方法に関する。
【0002】
(関連技術の説明)
半導体処理の分野において、通常、真空処理チャンバは、真空チャンバにエッチングまたは堆積用ガスを供給し、ガスにRF場を印加してガスにエネルギーを与えてプラズマ状態にすることにより、基板上で材料のエッチングおよび化学気相堆積(CVD)を行なうために用いられる。平行板、誘導結合プラズマ(ICP)とも呼ばれるトランス結合プラズマ(TCP(商標))、および電子サイクロトロン共鳴(ECR)反応器とそれらの部材は、本出願の所有者が所有する米国特許第4340462、4948458、5200232および5820723号に開示されている。これらの反応器内でのプラズマ環境の腐食性と、粒子および/または重金属汚染の最小化の要求のために、このような装置の部材の耐腐食性が優れていることは非常に望ましい。
【0003】
半導体基板の処理の間、基板は、通常、機械的クランプおよび静電クランプ(ESC)のような基板ホルダによって真空チャンバ内の定位置に固定されている。このようなクランプ・システムおよびそれらの部材の例は、本出願の所有者が所有する米国特許第5262029および5838529号に見出すことができる。プロセス・ガスは、ガス・ノズル、ガス・リング、ガス分配プレートなど様々な方法でチャンバに供給することができる。誘導結合プラズマ反応器用の温度制御ガス分配プレートおよびその部材の例は、本出願の所有者が所有する米国特許第5863376号に見出すことができる。プラズマ・チャンバ装置に加えて、半導体基板処理に用いられる他の装置には、搬送機構、ガス供給システム、ライナ、リフト機構、ロード・ロック、ドア機構、ロボット・アーム、ファスナ、およびこれらの類似物などが含まれる。このような装置の部材は半導体処理に関連する様々な腐食性条件のもとに置かれる。さらに、シリコン・ウエハなどの半導体基板およびフラット・パネル・ディスプレイ用のガラス基板などの誘電体材料を処理することに対する高純度要件に鑑みて、耐腐食性が改善された部材はこのような環境下で非常に望ましい。
【0004】
通常、アルミニウムおよびアルミニウム合金が、プラズマ反応器の壁面、電極、基板サポート、ファスナおよび他の部材に用いられる。これらの金属部材の腐食を防ぐために、様々なコーティングでアルミニウム表面をコートする様々な技術が提案されてきた。例えば米国特許第5641375号は、壁面のプラズマによる腐食および磨耗を減らすためにアルミニウム・チャンバの壁面を陽極酸化処理することを開示する。この’375特許は、陽極酸化処理された層は結果的にスパッタまたはエッチングによってなくなり、チャンバを取り替えなければならないと述べている。米国特許第5895586号は、Al、AlC、TiN、TiC、AlNまたはこれらの類似物の耐腐食性フィルムをアルミニウム材料上に形成する技術を特開昭62−103379に見出すことができることを述べている。
【0005】
米国特許第5680013号は、エッチング・チャンバの金属表面にAlを火炎溶射する技術が米国特許第4491496号に開示されていることを述べている。この’013特許は、アルミニウムと酸化アルミニウムのようなセラミック・コーティングとの間の熱膨張係数の差が、熱サイクルによるコーティングのクラックおよび腐食性環境での結果的なコーティングの破損に導くことを記載している。チャンバの壁面を保護するために、米国特許第5366585、5798016、および5885356号はライナの配置を提案する。例えば、’016特許は、セラミック、アルミニウム、スチール、および/または石英のライナを開示しており、アルミニウムが機械加工しやすいために好ましく、酸化アルミニウム、ScまたはYのコーティングを有することはAlがプラズマからアルミニウムを保護するためのアルミニウムのコーティングにとって好ましいことを開示している。’585特許は、少なくとも0.005インチの厚さをもち固体アルミナから機械加工で製造される自立構造のセラミック・ライナを開示する。この’585特許はまた、酸化アルミニウムの火炎溶射またはプラズマ溶射により、下側にあるアルミニウムを消耗することなく堆積させたセラミック層を使用できることを記載する。’356特許はアルミナのセラミック・ライナおよびウエハ支持台(pedestal)のための窒化アルミニウムのセラミック・シールドを開示する。米国特許第5885356号はCVDチャンバ用のセラミック・ライナ材料を開示する。
【0006】
様々なコーティングが半導体処理装置の金属部材に対して提案されてきた。例えば、米国特許第5879523号は熱スパッタリング・チャンバを開示し、そのチャンバではAlの溶射コーティングが任意選択で介在するNiAl結合コーティングをもつステンレス鋼またはアルミニウムなどの金属に適用されている。米国特許第5522932および589153号は、任意選択で介在するニッケル・コーティングをもち基板のプラズマ処理に用いられる装置の金属部材のためのロジウム・コーティングを開示する。米国特許第5680013号は、プラズマ処理チャンバの金属表面を結合していないセラミックで保護することを開示し、ここで好ましいセラミック材料は焼結AlNであり、それほどではない材料として酸化アルミニウム、フッ化マグネシウム、および酸化マグネシウムが含まれている。米国特許第5904778号はチャンバの壁面、チャンバの上面、またはウエハの回りのカラー(collar)用の自立構造のSiC上へのSiC CVDコーティングを開示する。
【0007】
シャワーヘッド・ガス分配システムなどのプラズマ反応器の部材に関して、様々な提案がシャワーヘッドの材料についてされてきた。例えば、本出願の所有者が所有する米国特許第5569356号はシリコン、グラファイト、または炭化シリコンのシャワーヘッドを開示する。米国特許第5494713号はアルミニウム電極上にアルマイト・フィルムを形成しさらにアルマイト・フィルム上に酸化シリコンまたは窒化シリコンなどのシリコン・コーティング・フィルムを形成することを開示する。この’713号特許は、アルミニウム・コーティング・フィルム、アルマイト・コーティング・フィルム、およびシリコン・コーティング・フィルムは異なる線膨張係数をもちそしてシリコン・コーティング・フィルムが厚すぎると容易にクラックが発生するため、シリコン・コーティング・フィルムの厚さは10μm以下、好ましくは約5μmとすべきであると記載している。しかし、厚さが5μmより小さいと、アルミニウム基材の保護が不十分であるために好ましくないと記載されている。米国特許第4534516号はステンレス・スチール、アルミニウム、銅またはこれらの類似物の上部シャワーヘッド電極を開示する。米国特許第4612077号はマグネシウムのシャワーヘッド電極を開示する。米国特許第5888907号はアモルファス・カーボン、SiC、またはAlのシャワーヘッド電極を開示する。米国特許第5006220および5022979号は、全体がSiCでできているかまたは高純度のSiC表面層をもつようにCVDで堆積したSiCでコートされたカーボン・ベースのシャワーヘッド電極を開示する。
【0008】
半導体処理装置の部材に要求される高い純度および耐腐食性のゆえに、これらの部材に使用される材料および/またはコーティングを改善することが当分野において求められている。さらにチャンバ材料に関して、プラズマ反応器チャンバの耐久性を増しそして装置の休止時間を減らすことができるいかなる材料も半導体ウエハ処理のコストを低減するのに有益である。
【0009】
(発明の概要)
本発明の第1の態様によれば、半導体処理装置の部材の金属表面に耐腐食性コーティングを設けるプロセスが提供される。このプロセスは、(a)部材の金属表面にニッケル−リンめっきを堆積すること、および(b)外側の耐腐食性表面を形成するようにニッケル−リンめっきの上にセラミック・コーティングを堆積すること、を含む。金属表面は、プラズマ・チャンバに用いられる陽極酸化もしくは非陽極酸化アルミニウム、ステンレス鋼、モリブデンもしくは他の金属などの高融点金属または合金とすることができる。セラミック・コーティングはアルミナ、SiC、AlN、Si、BCまたは他のプラズマに耐えうるセラミック材料とすることができる。
【0010】
本発明の第2の態様によれば、金属部材が提供される。部材は、(a)金属表面、(b)金属表面上のニッケル−リンめっき、および(c)ニッケルめっき上のセラミック・コーティングを含み、アルミナ・コーティングが外側の耐腐食性表面を形成する。
【0011】
本発明の目的と利点は、添付図と関連する好ましい実施形態の以下の詳細な説明から明らかとなるであろう。
【0012】
(本発明の好ましい実施形態の説明)
本発明は、プラズマ処理反応器チャンバの部品などの半導体処理装置の部材の金属表面に耐腐食性をもたせる効果的な方法を提供する。このような部材には、チャンバの壁面、基板サポート、シャワーヘッド、バッフル、リング、ノズルなどを含むガス分配システム、ファスナ、加熱素子、プラズマ・スクリーン、ライナ、ロボット・アームなどの搬送モジュール部材、ファスナ、内部および外部チャンバの壁面などとこれらの類似物が含まれる。
【0013】
本発明は金属表面をもつどのような種類の部材にも適用できるが、例示を容易にするため、本発明は米国特許第5820723号−その全体を参照により本明細書に組み込む−に記載される装置を参照してより詳細に説明される。
【0014】
図1は真空処理反応器チャンバ10を例示し、これは基板60に静電クランプ力を及ぼしまたHeで裏面冷却されている基板にRFバイアスを加える基板ホルダ70を含む。フォーカス・リング72は基板上の領域にプラズマを閉じ込める。高密度プラズマを生成するための適切なRF源により駆動されるアンテナ40などの、チャンバ内に高密度(例えば、1011〜1012イオン/cm)プラズマを維持するためのエネルギー源が反応器チャンバ10の上部に配置される。チャンバは、チャンバの底部中央にある真空排気口20を通してチャンバを脱気することにより、望ましい圧力(例えば、50mTorr以下、通常1〜20mTorr)にチャンバの内部30を維持するための適切な真空ポンプ装置を含む。
【0015】
アンテナ40と処理チャンバ10の内部との間に設けられる均一な厚さの実質的に平面の誘電体の窓50が、処理チャンバ10の上部で真空仕切り壁を形成する。ガス分配プレート52は、窓20の下に設けられそしてチャンバ10へガス供給部からプロセス・ガスを導入するための円形の穴などの開口部を含む。円錐形ライナ54はガス分配プレートから広がりそして基板ホルダ70を囲む。
【0016】
操作では、シリコン・ウエハ60などの半導体基板が基板ホルダ70に置かれ、Heの裏面冷却を用いながら通常静電クランプ74により定位置に固定される。次にプロセス・ガスが、窓50とガス分配プレート52との間のギャップにプロセス・ガスを通すことにより真空処理チャンバ10に供給される。適切なガス分配プレートの配列(すなわち、シャワーヘッド配列)は、本出願の所有者により所有される米国特許出願08/509080、08/658258、および08/658259に開示されており、これらの開示をここで参照により組み込む。例えば、図1の窓およびガス分配プレートの配列は平面で厚さが均一であるが、非平面かつ/または厚さが不均一な構造を窓および/またはガス分配プレートに用いることができる。高密度プラズマは、アンテナ40に適切なRF出力を供給することにより基板および窓の間のスペースで発生する。
【0017】
プラズマに曝されて腐食の兆候を示す陽極酸化または非陽極酸化アルミニウム壁面などのチャンバの壁面28および基板ホルダ70などの金属部材、ファスナ56、ライナ54などは、本発明によるコーティングをおこない、そうすることでプラズマ・チャンバの動作中にそれらをマスクする必要を回避する候補である。コートできる金属および/または合金の例には、陽極酸化もしくは非陽極酸化アルミニウムおよびその合金、ステンレス鋼、WおよびMoなどの高融点金属とそれらの合金、銅およびその合金などが含まれる。好ましい実施形態においてコートされる部材は、表面29が陽極酸化または非陽極酸化アルミニウムであるチャンバの壁面28である。本発明によるコーティングはその組成に関係なく(したがって、高純度のアルミニウムだけでなくより経済的なアルミニウム合金を使用できる)、結晶粒構造または表面状態に関係なくアルミニウム合金の使用を可能にする。以下の記載において、コートされる部材の例は図2に示すように、リン−ニッケル・コーティング80およびセラミック・コーティング90をもつアルミニウム・チャンバの壁面28である。
【0018】
本発明により、リン−ニッケル層80は、例えば無電界もしくは電気めっきなどのめっき、スパッタ、浸漬コーティングまたは化学気相堆積を含む従来の技術によりアルミニウム側壁面28にコートされる。無電界めっきはP−Niコーティングを付けるのに好ましい方法であり、電流を用いることなくチャンバの込み入った内部表面またはガス供給部材のガス通路などのチャンバの他の部材をめっきすることを可能にする。P−Ni合金の無電界めっき技術の例は、米国特許第4636255号に開示されており、その開示をここで参照により組み込む。また、通常の無電界めっきプロセスは、H.BoyerおよびT.Gall編「Metals Handbook」第5版、American Society For Metals(1989)に開示されている。
【0019】
めっきされた材料が確実によく付着するように、好ましくはアルミニウム基板28の表面は、めっきする前に徹底的に洗浄して酸化物またはグリースなどの表面物質を取り除く。好ましいニッケル合金めっきにはPが約9から約12重量パーセント、またより好ましくは約10から約12重量パーセント含まれる。
【0020】
このP−Niコーティング80は、基板に固着しまたさらにこのニッケル表面上にアルミナ、SiC、Si、BC、AlNなどのセラミック層90を形成する前にそれが加工できるように、十分に厚い。P−Niコーティング80の厚さは、少なくとも約0.002インチ、好ましくは約0.002インチから約0.010インチ、より好ましくは0.002および0.004インチの間のような適切ないかなる厚さでもよい。
【0021】
アルミニウム基板28の上にP−Niコーティング80を堆積した後、このめっきを何らかの適切な技法でブラストするかまたは粗化し、次にセラミック材料で保護被覆することができる。セラミック材料はリン−ニッケル・コーティング80の上に好ましくは熱溶射される。このように粗化された層80は溶融セラミック粒子に特に良好な結合を実現する。セラミック・コーティングの温度が下がると、それはコーティング80に高い機械圧縮強度を付与し、かつコーティング90内の亀裂の形成を最小化する。セラミック・コーティング90は、Al、SiC、Si、BC、AlN、TiOなどの望ましいセラミック材料または材料の組合わせのいずれも含むことができる。
【0022】
セラミック・コーティングは他の堆積技術、例えば化学気相堆積またはRFスパッタリングにより付けることもできる。好ましいコーティング法は熱溶射によるものであり、この方法ではセラミックの粉末を溶融しそして溶射コートされる部材に向けられるガス流に乗せる。熱溶射技術の利点は、金属体の熱溶射ガンに面する側だけがコートされ、また他の領域を保護するためにマスキングを用いることができるということである。Pawlowskiによる「The Science and Engineering of Thermal Spray Coating」(John wiley、1995)に、プラズマ溶射を含む通常の熱溶射技術に関する記載がある。
【0023】
好ましい実施形態におけるセラミック層90は、P−Ni層80上にアルミナをプラズマ溶射することにより、約0.005から約0.040インチ、好ましくは0.010から0.015インチ厚の範囲のような適切な厚さに堆積させられる。アルミナ層の厚さは、反応器内で発生しうるプラズマ環境(例えば、エッチング、CVDなど)に耐えうるように選択することができる。アルミナのこの層90は、反応器チャンバおよび前記のような部材の全てまたは一部分にコートされる。それは、反応器チャンバで処理される半導体基板がニッケルおよび/またはアルミニウムで汚染されることを防ぐために、プラズマと直接接触する部分またはライナなどのチャンバの部材の後ろの部分のようなプラズマ環境に曝されることも曝されないこともある領域に設けることが好ましい。そうすることで、本発明の1つの利点により、不十分なエッチングまたは堆積フィルムにおける望ましくないピンホールの生成は、腐食によるチリの発生を押さえることにより低減される。
【0024】
本発明の特定の実施形態を参照して本発明を詳細に記載したが、添付の特許請求の範囲から逸脱することなく、様々な変更および修正をすることができ、同等のものを用いることがでるということは当分野の技術者には明かであろう。
【図面の簡単な説明】
【図1】 本発明による耐腐食性コーティングでコートされた部材をもつプラズマ反応器チャンバの概略的横断面図である。
【図2】 図1の細部Aの耐腐食性コーティングの詳細を示す図である。

Claims (23)

  1. 半導体処理装置の部材の金属表面をコーティングするための方法であって、
    (a)半導体処理装置の部材の金属表面にニッケル−リンめっきを堆積すること、
    (b)前記ニッケル−リンめっき上に、最外郭表面を形成するセラミック・コーティングを堆積することを含み、前記金属表面が、陽極酸化されたアルミニウム又は陽極酸化されたアルミニウム合金であることを特徴とする方法。
  2. 前記ニッケル−リンめっきを無電解めっきにより堆積させる請求項1に記載のコーティングするための方法。
  3. 前記部材がプラズマ・チャンバの側壁を含み、前記ニッケル−リンめっきを前記側壁の露出した内側表面の上に堆積させる請求項1に記載のコーティングするための方法。
  4. 前記セラミック・コーティングがAl、SiC、Si、BC、またはAlNを含む請求項1に記載のコーティングするための方法。
  5. 前記ニッケル−リンめっきが9から12重量パーセントのリンを含む請求項1に記載のコーティングするための方法。
  6. 前記ニッケル−リンめっきを0.05から0.1mmの範囲の厚さに堆積させる請求項1に記載のコーティングするための方法。
  7. 前記セラミック・コーティングを堆積する前に前記ニッケル−リンめっきに表面粗化処理をおこない、前記ニッケル−リンめっきの全部または一部分を被覆するように前記セラミック・コーティングを前記ニッケル−リンめっき上にプラズマ溶射することによって前記セラミック・コーティングを粗化ニッケル−リンめっき上に堆積することをさらに含む、請求項1に記載のコーティングするための方法。
  8. 前記セラミック・コーティングを0.13から1mmの範囲の厚さに堆積させる請求項1に記載のコーティングするための方法。
  9. 記セラミック・コーティングがAl、SiC、Si、BC、またはAlNである請求項1に記載のコーティングするための方法。
  10. 半導体処理装置の部材であって、
    (a)金属表面と、
    (b)前記金属表面上のニッケル−リンめっきと、
    (c)前記ニッケル−リンめっき上の、最外郭表面を形成するセラミック・コーティングとを含み、前記金属表面が、陽極酸化されたアルミニウム又は陽極酸化されたアルミニウム合金であることを特徴とする部材。
  11. 前記セラミックがAl、SiC、Si、BC、またはAlNである請求項10に記載の部材。
  12. 前記ニッケル−リンめっきが9から12重量パーセントのリンを含む請求項10に記載の部材。
  13. 前記ニッケル−リンめっきが0.05から0.1mmの範囲の厚さを有する請求項10に記載の部材。
  14. 前記セラミック・コーティングが0.13から1mmの範囲の厚さを有するプラズマ溶射アルミナ・コーティングである請求項10に記載の部材。
  15. 前記部材がプラズマ・チャンバ壁である請求項10に記載の部材。
  16. 前記ニッケル−リンめっきがセラミック・コーティングと接触する粗化表面を含み、セラミック・コーティングが熱溶射によるコーティングである請求項10に記載の部材。
  17. 前記セラミック・コーティングがアルミナであ請求項16に記載の部材。
  18. 請求項10に記載の部材を含むプラズマ・チャンバ内で半導体基板を処理する方法であって、半導体基板の露出表面をプラズマと接触させることを含む方法。
  19. 半導体処理装置の部材の金属表面をコーティングするための方法であって、
    (a)半導体処理装置の部材の金属表面にニッケル−リンめっきを堆積すること、
    (b)前記ニッケル−リンめっき上に、前記部材の最外郭表面を形成するセラミック・コーティングを堆積することを含み、
    前記部材がプラズマ・チャンバの側壁を含み、前記ニッケル−リンめっきを前記側壁の露出した内側表面の上に堆積させ、前記金属表面が、陽極酸化されたアルミニウム又は陽極酸化されたアルミニウム合金であることを特徴とする方法。
  20. 前記セラミック・コーティングがAl、SiC、Si、BC、またはAlNである請求項19に記載のコーティングするための方法。
  21. 前記セラミック・コーティングを堆積する前に前記ニッケル−リンめっきに表面粗化処理をおこない、前記セラミック・コーティングが、プラズマ溶射することによって粗化ニッケル−リンめっき上に堆積される請求項19に記載のコーティングするための方法。
  22. 半導体処理装置の部材であって、
    (a)金属表面と、
    (b)前記金属表面上のニッケル−リンめっきと、
    (c)前記ニッケル−リンめっき上の、前記部材の最外郭表面を形成するセラミック・コーティングとを含み、プラズマ・チャンバの側壁として構成され、前記金属表面が、陽極酸化されたアルミニウム又は陽極酸化されたアルミニウム合金であることを特徴とする部材。
  23. 前記セラミック・コーティングがAl、SiC、Si、BC、またはAlNである請求項22に記載の部材。
JP2001506301A 1999-06-30 2000-06-14 半導体処理装置の耐腐食性部材およびその製造方法 Expired - Lifetime JP4608159B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/343,692 1999-06-30
US09/343,692 US6444083B1 (en) 1999-06-30 1999-06-30 Corrosion resistant component of semiconductor processing equipment and method of manufacturing thereof
PCT/US2000/040229 WO2001000901A1 (en) 1999-06-30 2000-06-14 Corrosion resistant component of semiconductor processing equipment and method of manufacturing thereof

Publications (3)

Publication Number Publication Date
JP2003503597A JP2003503597A (ja) 2003-01-28
JP2003503597A5 JP2003503597A5 (ja) 2007-08-02
JP4608159B2 true JP4608159B2 (ja) 2011-01-05

Family

ID=23347206

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001506301A Expired - Lifetime JP4608159B2 (ja) 1999-06-30 2000-06-14 半導体処理装置の耐腐食性部材およびその製造方法

Country Status (7)

Country Link
US (1) US6444083B1 (ja)
JP (1) JP4608159B2 (ja)
KR (1) KR100636076B1 (ja)
CN (1) CN100357493C (ja)
AU (1) AU6540700A (ja)
TW (1) TW524885B (ja)
WO (1) WO2001000901A1 (ja)

Families Citing this family (90)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010062209A (ko) 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
TW503449B (en) * 2000-04-18 2002-09-21 Ngk Insulators Ltd Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
JP4602532B2 (ja) * 2000-11-10 2010-12-22 東京エレクトロン株式会社 プラズマ処理装置
US6805952B2 (en) * 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
JP2002359229A (ja) * 2001-06-01 2002-12-13 Mitsubishi Electric Corp 半導体装置の製造方法および半導体装置の製造装置
US7026009B2 (en) * 2002-03-27 2006-04-11 Applied Materials, Inc. Evaluation of chamber components having textured coatings
US7166166B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US7166200B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US7204912B2 (en) 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US7137353B2 (en) 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US7147749B2 (en) * 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US6798519B2 (en) 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US6837966B2 (en) * 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US7964085B1 (en) 2002-11-25 2011-06-21 Applied Materials, Inc. Electrochemical removal of tantalum-containing materials
US7780786B2 (en) 2002-11-28 2010-08-24 Tokyo Electron Limited Internal member of a plasma processing vessel
CN100495413C (zh) * 2003-03-31 2009-06-03 东京毅力科创株式会社 用于邻接在处理元件上的相邻覆层的方法
KR101016913B1 (ko) 2003-03-31 2011-02-22 도쿄엘렉트론가부시키가이샤 처리요소용 배리어층 및 그의 형성방법
US20060105182A1 (en) * 2004-11-16 2006-05-18 Applied Materials, Inc. Erosion resistant textured chamber surface
JP2005064284A (ja) * 2003-08-14 2005-03-10 Asm Japan Kk 半導体基板保持装置
US7910218B2 (en) * 2003-10-22 2011-03-22 Applied Materials, Inc. Cleaning and refurbishing chamber components having metal coatings
US7662435B2 (en) * 2003-11-12 2010-02-16 Intelligent Energy, Inc. Method for reducing coking in a hydrogen generation reactor chamber
ITVE20040038A1 (it) * 2004-10-21 2005-01-21 Domiziano Mostacci Apparecchiatura per la produzione endogena di radioisotopi, particolarmente per diagnostica tomografica ad emissioni di positroni.
US7579067B2 (en) * 2004-11-24 2009-08-25 Applied Materials, Inc. Process chamber component with layered coating and method
KR100712125B1 (ko) * 2005-01-20 2007-04-27 삼성에스디아이 주식회사 유도결합형 플라즈마 처리장치
JP4475136B2 (ja) * 2005-02-18 2010-06-09 東京エレクトロン株式会社 処理システム、前処理装置及び記憶媒体
US8617672B2 (en) 2005-07-13 2013-12-31 Applied Materials, Inc. Localized surface annealing of components for substrate processing chambers
US7762114B2 (en) 2005-09-09 2010-07-27 Applied Materials, Inc. Flow-formed chamber component having a textured surface
US7612311B2 (en) * 2006-11-17 2009-11-03 Lam Research Corporation Methods and systems for controlling electric heaters
FR2909998B1 (fr) * 2006-12-18 2009-03-06 Snecma Propulsion Solide Sa Piece en materiau composite a matrice ceramique contenant du silicium, protegee contre la corrosion
US7981262B2 (en) 2007-01-29 2011-07-19 Applied Materials, Inc. Process kit for substrate processing chamber
CN101467501B (zh) * 2007-02-06 2011-07-20 揖斐电株式会社 印制电路板及该印制电路板的制造方法
JP2008251765A (ja) * 2007-03-30 2008-10-16 Hitachi High-Technologies Corp プラズマエッチング装置
US7942969B2 (en) 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
KR100820744B1 (ko) * 2007-09-05 2008-04-11 (주)제이스 금속 모재의 텅스텐 코팅방법
US9224582B2 (en) 2007-11-29 2015-12-29 Applied Materials, Inc. Apparatus and method for depositing electrically conductive pasting material
KR101486553B1 (ko) * 2008-03-20 2015-01-26 주식회사 원익아이피에스 진공처리장치
US8852685B2 (en) * 2010-04-23 2014-10-07 Lam Research Corporation Coating method for gas delivery system
JP5766495B2 (ja) * 2010-05-18 2015-08-19 株式会社日立ハイテクノロジーズ 熱処理装置
JP2011256946A (ja) * 2010-06-09 2011-12-22 Tohoku Univ 減圧処理装置
US20120052216A1 (en) * 2010-08-27 2012-03-01 Applied Materials, Inc. Gas distribution showerhead with high emissivity surface
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
KR101550439B1 (ko) 2014-10-17 2015-09-08 (주)씨엠코리아 반도체 웨이퍼용 세라믹히터 및 그 제조방법
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
CN105986245A (zh) * 2015-02-16 2016-10-05 中微半导体设备(上海)有限公司 改善mocvd反应工艺的部件及改善方法
US20160362782A1 (en) * 2015-06-15 2016-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gas dispenser and deposition apparatus using the same
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10755900B2 (en) 2017-05-10 2020-08-25 Applied Materials, Inc. Multi-layer plasma erosion protection for chamber components
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10297458B2 (en) * 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US20190323127A1 (en) * 2018-04-19 2019-10-24 Applied Materials, Inc. Texturing and plating nickel on aluminum process chamber components
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11859288B2 (en) * 2019-10-07 2024-01-02 Resonac Corporation Corrosion-resistant member

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4361630A (en) * 1979-04-20 1982-11-30 The United States Of America As Represented By The Secretary Of The Commerce Ultra-black coating due to surface morphology
US4340462A (en) 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
FR2538987A1 (fr) 1983-01-05 1984-07-06 Commissariat Energie Atomique Enceinte pour le traitement et notamment la gravure de substrats par la methode du plasma reactif
US4673468A (en) 1985-05-09 1987-06-16 Burlington Industries, Inc. Commercial nickel phosphorus electroplating
JPS62170465A (ja) 1986-01-23 1987-07-27 Yoshikawa Kogyo Co Ltd 銅基合金母材への耐熱溶射皮膜形成方法
GB2212172B (en) 1987-11-17 1992-03-04 Baj Ltd Wear-resistant coated articles
US5262029A (en) 1988-05-23 1993-11-16 Lam Research Method and system for clamping semiconductor wafers
US4948458A (en) 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
CA2035970C (en) * 1990-02-09 1999-06-01 Eiji Hirai Process for surface treating titanium-containing metallic material
JP2954716B2 (ja) 1990-03-08 1999-09-27 三菱アルミニウム株式会社 フッ化不働態膜を形成した工業材料およびその製造方法
JPH04161308A (ja) 1990-10-25 1992-06-04 Mitsubishi Electric Corp モールド用簡易金型およびその製造方法
US5294462A (en) * 1990-11-08 1994-03-15 Air Products And Chemicals, Inc. Electric arc spray coating with cored wire
US5200232A (en) 1990-12-11 1993-04-06 Lam Research Corporation Reaction chamber design and method to minimize particle generation in chemical vapor deposition reactors
JPH0563063A (ja) * 1991-09-02 1993-03-12 Nikon Corp 静電チヤツク装置
JP3074873B2 (ja) * 1991-11-11 2000-08-07 株式会社神戸製鋼所 真空装置用表面被覆金属材
US5366585A (en) 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
US5522932A (en) 1993-05-14 1996-06-04 Applied Materials, Inc. Corrosion-resistant apparatus
JPH07102387A (ja) * 1993-10-01 1995-04-18 Fuji Electric Co Ltd 機構部品およびその皮膜形成方法
US5798016A (en) 1994-03-08 1998-08-25 International Business Machines Corporation Apparatus for hot wall reactive ion etching using a dielectric or metallic liner with temperature control to achieve process stability
US5680013A (en) 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
JPH07310163A (ja) * 1994-05-16 1995-11-28 Canon Inc セラミック溶射膜およびその形成方法
US5895586A (en) 1994-05-17 1999-04-20 Hitachi, Ltd. Plasma processing apparatus and plasma processing method in which a part of the processing chamber is formed using a pre-fluorinated material of aluminum
US5641375A (en) 1994-08-15 1997-06-24 Applied Materials, Inc. Plasma etching reactor with surface protection means against erosion of walls
JP3581900B2 (ja) * 1994-10-28 2004-10-27 三菱アルミニウム株式会社 フロロカーボン膜が形成された金属材料、その製造方法並びにその材料を用いた装置
JP2943634B2 (ja) * 1994-11-16 1999-08-30 株式会社神戸製鋼所 AlまたはAl合金製真空チャンバ部材の表面処理方法
CN1053020C (zh) * 1994-12-30 2000-05-31 邵天敏 一种铝及铝合金表面的涂层制备方法
JP2936129B2 (ja) 1995-04-12 1999-08-23 セイコー精機株式会社 防食構造
JP2913537B2 (ja) * 1995-04-12 1999-06-28 セイコー精機株式会社 防食構造
US5938845A (en) * 1995-10-20 1999-08-17 Aiwa Co., Ltd. Uniform heat distribution apparatus and method for electroless nickel plating in fabrication of thin film head gaps
JPH09167755A (ja) * 1995-12-15 1997-06-24 Nec Corp プラズマ酸化膜処理装置
US5838529A (en) 1995-12-22 1998-11-17 Lam Research Corporation Low voltage electrostatic clamp for substrates such as dielectric substrates
US5863376A (en) 1996-06-05 1999-01-26 Lam Research Corporation Temperature controlling method and apparatus for a plasma processing chamber
US5820723A (en) 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5723187A (en) * 1996-06-21 1998-03-03 Ford Global Technologies, Inc. Method of bonding thermally sprayed coating to non-roughened aluminum surfaces
JPH10121257A (ja) * 1996-08-22 1998-05-12 Kobe Steel Ltd 無電解めっき装置及び方法
US6120640A (en) * 1996-12-19 2000-09-19 Applied Materials, Inc. Boron carbide parts and coatings in a plasma reactor
JPH10226869A (ja) * 1997-02-17 1998-08-25 Mitsui Eng & Shipbuild Co Ltd プラズマ溶射法
US5879523A (en) 1997-09-29 1999-03-09 Applied Materials, Inc. Ceramic coated metallic insulator particularly useful in a plasma sputter reactor

Also Published As

Publication number Publication date
TW524885B (en) 2003-03-21
JP2003503597A (ja) 2003-01-28
KR20020027373A (ko) 2002-04-13
US6444083B1 (en) 2002-09-03
WO2001000901A1 (en) 2001-01-04
CN1358238A (zh) 2002-07-10
CN100357493C (zh) 2007-12-26
KR100636076B1 (ko) 2006-10-18
AU6540700A (en) 2001-01-31
WO2001000901A9 (en) 2002-12-27

Similar Documents

Publication Publication Date Title
JP4608159B2 (ja) 半導体処理装置の耐腐食性部材およびその製造方法
JP4358509B2 (ja) 反応室壁上のダイヤモンド被膜及びその製造方法
JP4634005B2 (ja) 半導体処理装置の窒化ホウ素とイットリアとの複合材料の構成部品及びその製造方法
JP4451596B2 (ja) 半導体処理装置の炭窒化物でコーティングされた要素及びその製造方法
US6830622B2 (en) Cerium oxide containing ceramic components and coatings in semiconductor processing equipment and methods of manufacture thereof
US6790242B2 (en) Fullerene coated component of semiconductor processing equipment and method of manufacturing thereof

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070614

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070614

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090625

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090703

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20091002

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20100323

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20100707

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100716

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20100806

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100913

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20101008

R150 Certificate of patent or registration of utility model

Ref document number: 4608159

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131015

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term