JP4634005B2 - 半導体処理装置の窒化ホウ素とイットリアとの複合材料の構成部品及びその製造方法 - Google Patents

半導体処理装置の窒化ホウ素とイットリアとの複合材料の構成部品及びその製造方法 Download PDF

Info

Publication number
JP4634005B2
JP4634005B2 JP2002554295A JP2002554295A JP4634005B2 JP 4634005 B2 JP4634005 B2 JP 4634005B2 JP 2002554295 A JP2002554295 A JP 2002554295A JP 2002554295 A JP2002554295 A JP 2002554295A JP 4634005 B2 JP4634005 B2 JP 4634005B2
Authority
JP
Japan
Prior art keywords
coating
yttria
boron nitride
composite material
component
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2002554295A
Other languages
English (en)
Other versions
JP2004523649A (ja
JP2004523649A5 (ja
Inventor
ロバート, ジェイ. オドネル,
クリストファー, シー. チャン,
ジョン, イー. ダウエルティー,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2004523649A publication Critical patent/JP2004523649A/ja
Publication of JP2004523649A5 publication Critical patent/JP2004523649A5/ja
Application granted granted Critical
Publication of JP4634005B2 publication Critical patent/JP4634005B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Other Surface Treatments For Metallic Materials (AREA)

Description

発明の背景
1.発明の分野
本発明は、半導体処理装置及びその構成部品の耐食性を向上させる方法に関する。
2.従来の技術の説明
半導体処理の分野では、エッチング又は成膜ガスを真空チャンバに供給し、RF電界をガスに印加してガスをプラズマ状態に活性化することによる、基板上の材料のエッチング及び化学気相成長法(CVD)のための真空処理チャンバが一般的に用いられている。平行平板の例としては、誘導結合プラズマ(ICP)とも呼ばれる変圧器結合プラズマ(TCP(登録商標))、電子サイクロトロン共鳴(ECR)反応器及びそれらの構成部品が、本願と同じ出願人による米国特許第4,340,462号、同4,948,458号、同5,200,232号及び同5,820,723号に開示されている。このような反応室内のプラズマ環境の腐食性及びパーティクル及び/又は重金属汚染を最小化するための条件のため、このような装置の構成部品は、高い耐食性を示すことが強く望まれる。
半導体基板の処理中では、基板は機械的クランプや静電クランプ(ESC)等の基板ホルダによって、典型的には真空チャンバ内の所定の位置に保持される。このようなクランプシステム及びその構成部品の例は、本願と同じ出願人による米国特許第5,262,029号及び同5,838,529号に見出される。処理ガスは、例えば、ガスノズル、ガスリング、ガス供給板等の様々な方法でチャンバに供給される。誘導結合プラズマ反応器及びその構成部品のための温度調節されたガス供給板の例は、本願と同じ出願人による米国特許第5,863,376号に見出される。プラズマチャンバ装置に加えて、半導体基板の処理に用いられる他の装置としては、搬送機構、ガス供給システム、ライナー、リフト機構、ロードロック、ドア機構、ロボットアーム、ファスナー等が含まれる。このような装置の構成部品は、半導体処理に関係する様々な腐食性の条件下にさらされる。さらに、シリコンウエハ等の半導体基板処理及びフラットパネルディスプレイで用いられるガラス基板等の誘電体材料に対する高純度化の要求から見れば、このような環境では、より高い耐食性を有する構成部品が強く望まれる。
アルミニウム及びアルミ合金は、プラズマ反応器の壁、電極、基板支持体、ファスナー及び他の構成部品に一般的に用いられる。このような金属の構成部品の腐食を防止するため、様々な被膜を用いてアルミニウム表面を被覆するために、様々な技術が提案されている。例えば、米国特許第5,641,375号は、プラズマ侵食及び壁の磨耗を低減させるために陽極酸化されたアルミニウムチャンバを開示している。'375特許は、最終的には、陽極酸化された層がスパッタされるか或いはエッチングされて取り除かれ、チャンバを取り替える必要があることを示している。米国特許第5,895,586号は、アルミニウム材料上にAl、AlC、TiN、TiC、AlN等の耐食性の膜を形成するための技術が特開62-103379号公報に見い出されることを示している。
米国特許第5,680,013号は、エッチングチャンバの金属表面上にAlをフレーム溶射するための技術が米国特許第4,491,496号に開示されていることを示している。'013特許は、腐食性の環境において、酸化アルミニウム等のアルミニウムとセラミック被膜との間の熱膨張係数の差が熱サイクルによって被膜に亀裂を発生させ、その結果として被膜を劣化させてしまうことを示している。チャンバ壁を保護するために、米国特許第5,366,585号、同5,798,016号及び同5,885,356号は、ライナー機構を提案している。例えば、'016特許は、機械加工性を容易にするために好適であり、セラミック、アルミニウム、スチール、及び/又は、アルミニウムを含むクォーツのライナーであって、プラズマからアルミニウムを保護すべくアルミニウムを被覆するのに好適な酸化アルミニウム、Al2O3を含むSc2O3又はY2O3の被膜を有するライナーを開示している。'585特許は、少なくとも0.005インチの厚さを持ち、固体アルミナから機械的加工されたフリースタンディングの(freestanding)セラミックライナを開示している。'585特許は、また、フレーム溶射又はプラズマ溶射された酸化アルミニウムによって、下層のアルミニウムを消耗することなく成膜されるセラミック層が用いられることについて述べている。'356特許は、ウエハ基盤のためのアルミナのセラミックライナ及び窒化アルミニウムのセラミックシールドを開示している。米国特許第5,885,356号は、CVDチャンバに用いられるセラミックライナ材料を開示している。
半導体処理装置の金属構成部品に対して様々な被膜が提案されている。例えば、米国特許第5,879,523号は、熱的に溶射されたAlの被膜が、随意的にその間にNiAlxがボンドコーティング(bondcoating)されたステンレス鋼又はアルミニウム等の金属に適用されるスパッタリングチャンバを開示している。米国特許第5,522,932号及び同5,891,253号は、その間に随意的なニッケル被膜を含んだ、基板のプラズマ処理に用いられる装置の金属構成部品用のロジウム被膜を開示している。米国特許第5,680,013号は、プラズマ処理チャンバ内で金属表面を保護するためのボンディングされていないセラミックを開示しており、好適なセラミック材料は、酸化アルミニウム、フッ化マグネシウム及び酸化マグネシウムが含むそれほど好適でない材料を含む焼結AlNである。米国特許第5,904,778号は、チャンバ壁、チャンバ上盤又はウエハ周りのつば(collar)として用いられるフリースタンディングのSiC上を被覆するSiC CVDを開示している。
シャワーヘッドガス供給システム等のプラズマ反応器の構成部品に関しては、シャワーヘッドの材料に関して様々な提案がなされてきている。例えば、本願と同じ出願人による米国特許第5,569,356号は、シリコン、グラファイト又は炭化シリコンのシャワーヘッドを開示している。米国特許第5,494,713号は、アルミニウム電極上にアルマイト膜を形成し、アルマイト膜上に酸化シリコン又は窒化シリコン等のシリコン被膜を形成することを開示している。'713特許は、アルミニウム被膜、アルマイト被膜及びシリコン被膜が異なる線膨張率を有し、シリコン被膜の厚さが薄すぎると簡単にひびが入るため、シリコン被膜の厚さは10μm又はそれ以下、好適には約5μmにすべきであることを示している。しかしながら、アルミニウム基板の保護が不十分であるので、5μm以下の厚さは好ましくないことが示されている。米国特許第4,534,816号は、ステンレス鋼、アルミニウム、銅等の上部シャワーヘッド電極を開示している。米国特許第4,612,077号は、マグネシウムのシャワーヘッド電極を開示している。米国特許第5,888,907号は、非晶質カーボン、SiC又はAlのシャワーヘッド電極を開示している。米国特許第5,006,220号及び同5,022,979は、全体がSiCで作られたシャワーヘッド電極、或いは、高純度SiCの表面層を提供するためにCVDによって成膜されたSiCで被覆された炭素のベースを開示している。
半導体処理装置の構成部品に対して高い純度と耐食性とが要求される点から、このような構成部品に用いられる材料及び/又は被膜を技術的に改善する必要がある。さらに、チャンバ材料に関しては、プラズマ反応チャンバの耐用年数を伸ばし、結果として装置の停止時間を低減可能などのような材料も、半導体ウエハの処理コストの低減に有益であろう。
発明の概要
本発明の第1の側面によれば、耐食性の窒化ホウ素イットリアとの複合材料を含む被膜を半導体処理装置の構成部品の表面上に提供するための処理を含む。この処理は、外側に耐食性の表面を形成するために、窒化ホウ素イットリアとの複合材料を含む被膜を処理装置の構成部品の表面上に成膜する工程を含む。耐食性の表面に関しては、プラズマチャンバガスによる被膜の侵食を阻止する一方で、プラズマチャンバガスの腐食効果から下層の材料を保護する表面被膜を意味する。処理装置の構成部品の被覆すべき下層表面は、好適な材料である陽極酸化アルミを含む金属、セラミック又はポリマー材料で構成されうる。
好適な実施形態では、1つ又は複数の中間金属、セラミック又はポリマー被膜が、半導体処理装置の表面と窒化ホウ素イットリアとの複合材料を含む被膜との間で用いられうる。被覆される金属表面は、陽極酸化されたか又は陽極酸化されていないアルミニウム、ステンレス鋼、モリブデン等の超硬合金(refractory metal)又は他の金属若しくはプラズマチャンバで用いられる合金を含む。被覆されるセラミック表面は、アルミナ、SiC、AIN、Si、BC又は他のプラズマに適合したセラミック材料を含む。被覆される高分子表面は、テフロン(登録商標)等のフルオロポリマー、べスペル(登録商標)等のポリイミド及び200度までの温度でプラズマチャンバ内で使用できる他の高分子材料を含む。
本発明の第2の側面によれば、金属の構成部品が提供される。この構成部品は、(a)金属表面と、(b)前記金属表面上第1の中間被膜と、(c)前記第1の中間被膜上の又は前記金属表面上第2の中間被膜と、耐食性の外側面を提供する前記構成部品上の窒化ホウ素イットリアとの複合材料を含む被膜と、を含む。前記第1、第2の中間被膜の各々は、金属又はその合金、セラミック、ポリマー又は混合物、若しくはプラズマ反応器内で用いられる材料の複合材料であってもよい。
本発明のもう1つの側面は、窒化ホウ素イットリアとの複合材料含有材料で作られた半導体処理装置の構成部品を提供することである。この構成部品は、この装置に用いられる任意の1つ又は複数の被膜を含んでもよい。
本発明の目的及び利点は、添付の図面と共に、その好適な実施の形態に係る以下の詳細な説明から明らかになるであろう。
本発明の好適な実施形態の詳細な説明
本発明は、例えば、耐食性の被膜を利用することによって、プラズマ処理チャンバの部分等の半導体処理装置の構成部品の金属、セラミック、ポリマー表面に対して耐食性をもたらすのに効果的な方法を提供する。このような構成部品は、チャンバ壁、基板支持体、ガス供給システムであって、シャワーヘッド、バッフル、リング、ノズル等を含むガス供給システム、ファスナー、発熱体、プラズマスクリーン、ライナー、搬送モジュール構成部品、例えば、ロボットアーム、ファスナー、チャンバの内壁及び外壁等の部品等、などを含む。
本発明は、金属、セラミック又はポリマー表面を有する任意のタイプの構成部品に適用可能であるが、図を簡略化するため、全体として本願に参照により組み込まれる米国特許第5,820,723号に記載された装置を参照して詳細に説明される。
図1は、He裏面冷却される間に、基板にRFバイアスをかけることに加えて、静電クランプ力を基板60に与える基板ホルダ70を含む真空処理反応チャンバ10を示す図である。フォーカスリング72は、基板上方の領域にプラズマを閉じ込める。高密度プラズマを提供する適切なRF源で動作するアンテナ40のように、チャンバ内に高密度(例えば、1011−1012ions/cm)のプラズマを維持するためのエネルギ源が、反応チャンバ10の上部に配置されている。チャンバは、チャンバの底で中央に位置する真空ポート20を通してチャンバを排気することよって、所望の圧力に(例えば、50mTorr以下、典型的には1〜20mTorr)チャンバの内部30を維持するための、適切な真空ポンプ装置を含む。
アンテナ40と処理チャンバ10の内部との間に設けられた、実質的に平面で均一な厚さの誘電体ウィンドウ50が、処理チャンバ10の上部で真空壁を形成する。ガス供給板52はウィンドウ20の下に設けられ、ガス供給部からチャンバ10へ処理ガスを送り出すための円形の孔等の開口部を含む。円錐形のライナー54は、ガス供給板から延びて基板ホルダ70を囲む。
動作中では、シリコンウエハ60等の半導体基板は、基板ホルダ70上に配置され、He裏面冷却が行われている間に、典型的には静電クランプ74によって所定位置に保持される。次に、処理ガスをウィンドウ50とガス供給板52との間のギャップを通過させることによって、処理ガスが真空処理チャンバ10に供給される。適切なガス供給板機構(例えば、シャワーヘッド)は、本願と同じ出願人による米国特許第5,824,605号、同6,048,798号及び同5,863,376号に開示されており、この開示は本願に参照によって組み込まれる。例えば、図1ではウィンドウ及びガス供給板機構は、平面で均一な厚さであるが、非平面及び/又は不均一な厚さの構造がウィンドウ及び/又はガス供給板に用いられてもよい。高密度プラズマは、適切なRF電力をアンテナ40に供給することによって、基板とウィンドウとの間の空間で発光する。
プラズマにさらされて腐食の兆候を見せる、陽極酸化された又は陽極酸化されていないアルミニウム壁等のチャンバ壁28、基板ホルダ70等の金属、セラミック又はポリマーの構成部品、ファスナー56、及びライナー54等は、本発明に係る被膜の候補であり、これによってプラズマチャンバの動作中にこれらを覆う必要がなくなる。被覆される金属及び/又は合金の例としては、陽極酸化された又は陽極酸化されていないアルミニウム及びその合金、ステンレス鋼、WやMo等の超硬合金及びその合金、銅及びその合金等が含まれる。被覆されるセラミック表面の例としては、アルミナ、SiC、AlN、Si、BC及びTiOが含まれる。被覆される市販のポリマー材料の例としては、テフロン(登録商標)等のフルオロポリマー、べスペル(登録商標)等のポリイミド及び200度までの温度でプラズマチャンバ内で使用可能な他の高分子材料が含まれる。好適な実施の形態では、被覆すべき構成部品は、陽極酸化された又は陽極酸化されていないアルミニウム表面29を有するチャンバ壁28である。本発明に係る被覆によれば、その組成(したがって、高純度なアルミニウムに加え、より経済的なアルミニウム合金を用いることができる)、グレイン構造又は表面状態によらず、アルミ合金を用いることができる。以下の説明では、図2に示すように、被覆すべき構成部品の一例は、第1中間被膜80と、第2中間被膜90と、窒化ホウ素イットリアとの複合材料含有被膜100とを有するアルミニウムチャンバ壁28である。
被膜材料の高密着性を確保するために、アルミニウム基板28の表面は、十分洗浄され、被覆前に酸化物又はグリース等の表面材料を除去するのが望ましい。さらに、基板表面を粗くして、基板表面を陽極酸化して、任意の所望の被膜を適用する前に、陽極酸化された基板表面を再び粗くするのが特に望ましい。
本発明によれば、第1の中間被膜80は、従来技術によってアルミニウム側壁28上被覆される。第1の被膜80は、基板に付着して、更に第2の中間被膜90又は以下に示すダイヤモンド含有被膜を形成する前に処理可能なように十分に厚い。第1の中間被膜80は、少なくとも約25.4μm(0.001インチの厚さ、好適には約25.4μm〜約6.35mm(約0.001〜約0.25インチ、より好適には25.4μm〜2.54mm(0.001〜0.1インチの間、最適には25.4μm〜1.27mm(0.001インチ〜0.05インチ等の任意の適当な厚さを持つことができる。
第1の中間被膜80をアルミニウム基板28上に成膜した後に、プレーティング(plating)が任意の適当な技術によってブラストされるか(blasted)又は粗くされ、次に、第2の被膜90又は窒化ホウ素イットリアとの複合材料含有被膜100が上に被覆される。粗くされた層80によって、特に優れた結合が得られる。好適には、第2の中間被膜90は、被膜80に高い機械的圧縮強度を与え、被膜90中の割れ目の形成を最小限にする。
第2の中間被膜90は、第1の中間被膜80に付着し、任意の付加的な中間被膜又は以下に示す外側の窒化ホウ素イットリアとの複合材料を含む被膜100を形成する前に、更に処理可能なように十分に厚い。第2の中間被膜90は、少なくとも約25.4μm(0.001インチの厚さ、好適には約25.4μm〜約6.35mm(約0.001〜約0.25インチ、より好適には25.4μm〜2.54mm(0.001〜0.1インチの間、最適には25.4μm〜1.27mm(0.001インチ〜0.05インチの間等の任意の適当な厚さを持つことができる。
第1、第2の中間被膜は、従来のプラズマ処理チャンバで用いられる任意の1つ又は複数の材料で作られてもよい。このような材料の例としては、金属、セラミック及びポリマーが含まれる。特に望ましい金属としては、任意の1つ又は複数の超硬金属、このような金属等を含む複合材料又は合金が含まれる。特に望ましいセラミックとしては、Al、SiC、Si、BC、AlN、TiO等が含まれる。特に望ましいポリマーとしては、テフロン(登録商標)等のフルオロポリマー、べスペル(登録商標)等のポリイミド及び200度までの温度でプラズマチャンバ内で使用できる他の高分子材料が含まれる。中間層を考慮した特別の材料としては、フラーレンを含む材料、ダイヤモンド又はダイヤモンドライク材料等の他の硬質炭素を含む材料;例えば、ハフニウム、タンタル、チタニウム、及び/又は、シリコン等の、炭化物、ホウ化物、窒化物、及び/又は、炭窒化物;炭化ホウ素;窒化ホウ素;浸炭窒化ホウ素;ジルコニア;イットリア又は上記の材料の如何なる混合物も含まれる。
第1及び第2の中間層80及び90は、所望の特性に依存してこれらの被膜が同じであるか又は異なるように、上記材料の任意の1つであってよいと考えられる。また、同じであるか又は異なる材料の第3、第4又は第5の中間被膜等の付加的な中間被膜が用いられることも考えられる。
窒化ホウ素イットリアとの複合材料含有被膜100は、第2の中間被膜90上成膜される。窒化ホウ素イットリアとの複合材料含有被膜の厚さは、望ましくは少なくとも25.4μm(0.001インチ、好適には約25.4μm〜約6.35mm(約0.001〜約0.25インチ、より好適には約25.4μm〜約2.54mm(約0.001〜約0.1インチ、最適には25.4μm〜1.27mm(0.001インチ〜0.05インチ等である。窒化ホウ素イットリアとの複合材料を含む被膜100の厚さは、反応チャンバ(例えば、エッチング、CVD等)で生じるプラズマ環境に適合するように選択され得る。この窒化ホウ素イットリアとの複合材料を含む被膜の層は、反応チャンバ及び上記のその構成部品の全部又は一部に被覆されうる。最も好適には、窒化ホウ素イットリアとの複合材料の被膜は、腐食性のチャンバガスに晒される重要な期間の間、下層、特に基板を、侵食及び/又は腐食から保護することができるのに有効な厚さである。
本発明に係る窒化ホウ素イットリアとの複合材料含有被膜100は、窒化ホウ素及びイットリアを共に含む。窒化ホウ素複合材料の成分は、六方晶系、立方晶系、これらの混合体のうちいずれかであってもよい。最も好適には、窒化ホウ素成分は、100%の立方晶相又は重量で60%を超える、好適には重量で80%を超える、最も好適には重量で90%を超える割合の立方晶相を含む。より高濃度の窒化ホウ素の立方晶形態は、非常に難しく、高温・高圧下で六方晶形態から作られ得る。一方、窒化ホウ素は、100%の六方晶形態であってもよい。
イットリア複合材料の成分は、複合材料全体の約1%〜99%の間、より好適には約40%〜99%の間、更に好適には約60%〜80%の間の量を示す。窒化ホウ素の成分は、複合材料全体の約1%〜99%の間、より好適には約1%〜60%の間、更に好適には約20%〜40%の間の量を示す。
複合材料は、複合材料全体の約50%以上までの量で他の保護材料を含みうる。好適には、窒化ホウ素、イットリア又はジルコニアは、このような複合材料中でマトリックス相を形成する。更に好適には、本発明に係る複合材料は、複合材料に応じて、重量で約1%〜40%の間の付加的な材料、より好適には重量で約1%〜20%の間の付加的な材料、更に好適には重量で約1%〜20%の間の付加的な材料、なお更に好適には重量で約1%〜10%の間の付加的な材料を含む。
このような材料は、プラズマ処理チャンバで用いられる任意の1つ又は複数の材料を含んでもよい。このような材料の例としては、任意の1つ又は複数の金属、セラミック及びポリマーが含まれる。特に望ましい金属としては、任意の1つ又は複数の超硬金属、このような金属を含む複合材料又は合金が含まれる。特に望ましいセラミックとしては、Al、SiC、Si、BC、AlN、TiO等が含まれる。特に望ましいポリマーとしては、テフロン(登録商標)等のフルオロポリマー、べスペル(登録商標)等のポリイミド及び200度までの温度でプラズマチャンバ内で使用できる他の高分子材料が含まれる。最も望ましい材料としては、窒化ホウ素又はイットリア複合材料が単体で含まれるか、又は、例えば、ハフニウム、タンタル、チタニウム、及び/又は、シリコン等の、炭化物;ホウ化物;窒化物、及び/又は、炭窒化物、;炭化ホウ素;窒化ホウ素;浸炭窒化ホウ素;ジルコニア;イットリア又は上記の材料の混合物との組み合わせが含まれると考えられる。
本発明に係る窒化ホウ素イットリアとの複合材料含有被膜100含有被膜は、熱溶射、プラズマ溶射、化学気相成長法、昇華(sublimation)、レーザ蒸発、スパッタリング、イオンビーム被覆、スプレー被覆、浸漬被覆、蒸着、ロールオン被覆、ブラシ被膜等の任意の周知の被覆技術によって所望の表面上に成膜されうる。他の材料の中間層を有する又は有しない複数の窒化ホウ素イットリアとの複合材料含有被膜は、任意の周知の技術を用いて所望の表面上に成膜されうる。
本発明のもう1つの側面は、窒化ホウ素イットリアとの複合材料含有材料で作られた半導体処理装置の構成部品を提供することである。この構成部品は、このような装置に従来用いられている1つ又は複数の被膜を含みうる。
本発明に係る窒化ホウ素イットリアとの複合材料含有被膜又は構成部品を用いることによって、極めて硬い、耐食性の表面を得ることが望ましい。このような被膜又は構成部品は、パーティクル汚染が少ないか全く無く、腐食がほとんど無いか全く無く、金属汚染がほとんど無いか全く無く及び/又は揮発性のエッチング生成物がほとんど無いか全く無いように、処理チャンバガスと反応性の材料を含むことなく、化学的に不活性であるのが望ましい。
窒化ホウ素イットリアとの複合材料含有被膜又は構成部品は、反応チャンバ内で処理される半導体基板の金属汚染を防止するために、プラズマに直接接触する部分又はライナー等のチャンバ構成部品の後ろの部分等のプラズマ環境に晒される領域又は晒されない領域に配置されるのが好適である。遷移金属の塵、例えば、周期律表で、任意の1つ又は複数の元素21〜29(スカンジウム〜銅)、39〜47(イッテルビウム〜銀)、57〜79(ランタン〜金)及び89(アクチニウム)からの全ての既知の元素を抑えるか又は取り除くことが特に望ましい。これによって、本発明の1つの利点によれば、侵食又は腐食のいずれかによって、このような塵の発生を抑えることによって、不十分なエッチング又は成膜中の望ましくないピンホールの形成が低減される。
本発明は、その特定の実施の形態を参照して詳細に説明されたが、特許請求の範囲を逸脱しない限り、様々な変更や修正が可能であり、均等物が用いられ得ることは、当業者に自明であろう。
図1は、本発明に係る耐食性の被膜で被覆された構成部品を有するプラズマ反応チャンバの断面図を示す図である。 図2は、図1のAの耐食性の被膜を詳細に示す図である。

Claims (22)

  1. プラズマ及び腐食性ガスの少なくとも一方に晒される、半導体処理装置の構成部品の表面を被覆する処理方法であって、
    半導体処理装置の構成部品の表面の上に、粗面化された第1の中間被膜を成膜する工程と、
    前記粗面化された第1の中間被膜の上に第2の中間被膜を成膜する工程と、
    耐食性の外面を形成するために、前記第2の中間被膜の上に窒化ホウ素とイットリアとの複合材料を含有する被膜を成膜する工程と、を含み、
    前記イットリアは、前記複合材料の重量で60〜80%を占め、前記窒化ホウ素は、前記複合材料の重量で20〜40%を占める、
    ことを特徴とする被覆処理方法。
  2. 前記構成部品の前記表面は、金属、セラミック又はポリマー表面を含むことを特徴とする請求項1に記載の被覆処理方法。
  3. 前記表面は、陽極酸化アルミウムであることを特徴とする請求項2に記載の被覆処理方法。
  4. 前記第1の中間被膜は、金属、セラミック又はポリマー被膜を含むことを特徴とする請求項1に記載の被覆処理方法。
  5. 前記構成部品は、プラズマエッチングチャンバのチャンバ壁を含むことを特徴とする請求項1に記載の被覆処理方法。
  6. 窒化ホウ素とイットリアとの複合材料を含有する前記被膜は、立方晶相、六方晶相又はそれの混合体を含むことを特徴とする請求項1に記載の被覆処理方法。
  7. 窒化ホウ素とイットリアとの複合材料を含有する前記被膜は、窒化ホウ素又はイットリアの他に少なくとも1つの材料を含むことを特徴とする請求項1に記載の被覆処理方法。
  8. 前記他の材料は、金属、セラミック又はポリマーであることを特徴とする請求項7に記載の被覆処理方法。
  9. 前記他の材料は、ジルコニアを含むことを特徴とする請求項8に記載の被覆処理方法。
  10. 前記他の材料は、炭化チタン、ホウ化チタン、窒化チタン、炭化ケイ素、ホウ化ケイ素、窒化ケイ素又はこれらの混合物を含むことを特徴とする請求項8に記載の被覆処理方法。
  11. 窒化ホウ素とイットリアとの複合材料を含有する前記被膜は、化学気相成長法、プラズマ溶射、昇華、レーザ蒸発、スパッタリング、イオンビーム蒸着、熱溶射、浸漬被覆、蒸発被覆、ロールオン被覆又はブラシ被膜によって成膜されることを特徴とする請求項1に記載の被覆処理方法。
  12. 半導体処理装置の被覆された構成部品であって、
    表面と、
    前記表面の上の、粗面化された第1の中間被膜と、
    前記粗面化された第1の中間被膜の上の第2の中間被膜と、
    前記第2の中間被膜を覆って耐侵食性の外面を形成する窒化ホウ素とイットリアとの複合材料を含有する被膜と、
    を備え、
    窒化ホウ素とイットリアとの複合材料を含有する前記被膜は、前記装置内でプラズマ及び腐食性ガスの少なくとも一方に晒され
    前記イットリアは、前記複合材料の重量で60〜80%を占め、前記窒化ホウ素は、前記複合材料の重量で20〜40%を占め
    ことを特徴とする半導体装置の構成部品。
  13. 前記表面は、金属、セラミック又はポリマー表面であることを特徴とする請求項12に記載の構成部品。
  14. 前記表面は、陽極酸化アルミニウムであることを特徴とする請求項13に記載の構成部品。
  15. 前記構成部品は、プラズマエッチングチャンバのチャンバ壁を含むことを特徴とする請求項12に記載の構成部品。
  16. 窒化ホウ素とイットリアとの複合材料を含有する前記被膜は、立方晶相、六方晶相又はその混合体を含むことを特徴とする請求項12に記載の構成部品。
  17. 窒化ホウ素とイットリアとの複合材料を含有する前記被膜は、窒化ホウ素又はイットリアの他に少なくとも1つの材料を含むことを特徴とする請求項12に記載の構成部品。
  18. 前記少なくとも1つの材料は、金属、セラミック又はポリマーの少なくとも1つであることを特徴とする請求項17に記載の構成部品。
  19. 前記少なくとも1つの材料は、ジルコニアであることを特徴とする請求項18に記載の構成部品。
  20. 前記少なくとも1つの材料は、炭化チタン、ホウ化チタン、窒化チタン、炭化ケイ素、ホウ化ケイ素、窒化ケイ素又はこれらの混合物を含むことを特徴とする請求項18に記載の構成部品。
  21. 半導体処理装置の被覆された構成部品であって、
    表面と、
    前記表面の上の、粗面化された第1の中間被膜と、
    前記粗面化された第1の中間被膜の上の第2の中間被膜と、
    前記第2の中間被膜を覆って耐侵食性の外面を形成する窒化ホウ素とイットリアとの複合材料を含有する被膜と、
    を備え、
    前記窒化ホウ素又はイットリアは、窒化ホウ素とイットリアとの複合材料を含有する被膜内で連続マトリックス相を形成し、窒化ホウ素とイットリアとの複合材料を含有する前記被膜は、前記装置内でプラズマ及び腐食性ガスの少なくとも一方に晒され
    前記イットリアは、前記複合材料の重量で60〜80%を占め、前記窒化ホウ素は、前記複合材料の重量で20〜40%を占め
    ことを特徴とする半導体装置の構成部品。
  22. 窒化ホウ素とイットリアとの複合材料を含有する前記被膜は、前記装置内のプラズマに晒された少なくとも25.4μmの厚さの表面を形成することを特徴とする請求項21に記載の構成部品。
JP2002554295A 2000-12-29 2001-11-23 半導体処理装置の窒化ホウ素とイットリアとの複合材料の構成部品及びその製造方法 Expired - Fee Related JP4634005B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/749,924 US6613442B2 (en) 2000-12-29 2000-12-29 Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof
PCT/US2001/043836 WO2002053799A1 (en) 2000-12-29 2001-11-23 Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof

Publications (3)

Publication Number Publication Date
JP2004523649A JP2004523649A (ja) 2004-08-05
JP2004523649A5 JP2004523649A5 (ja) 2005-12-22
JP4634005B2 true JP4634005B2 (ja) 2011-02-16

Family

ID=25015789

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002554295A Expired - Fee Related JP4634005B2 (ja) 2000-12-29 2001-11-23 半導体処理装置の窒化ホウ素とイットリアとの複合材料の構成部品及びその製造方法

Country Status (7)

Country Link
US (2) US6613442B2 (ja)
EP (1) EP1364075A1 (ja)
JP (1) JP4634005B2 (ja)
KR (1) KR100830068B1 (ja)
CN (1) CN1484712B (ja)
TW (1) TW533494B (ja)
WO (1) WO2002053799A1 (ja)

Families Citing this family (72)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010062209A (ko) 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
JP3510993B2 (ja) * 1999-12-10 2004-03-29 トーカロ株式会社 プラズマ処理容器内部材およびその製造方法
JP4790896B2 (ja) * 2000-05-26 2011-10-12 エーユー オプトロニクス コーポレイション トップゲート型tftを含むアクティブマトリックスデバイスの製造方法および製造装置
US6613442B2 (en) * 2000-12-29 2003-09-02 Lam Research Corporation Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof
US7670688B2 (en) * 2001-06-25 2010-03-02 Applied Materials, Inc. Erosion-resistant components for plasma process chambers
KR100443772B1 (ko) * 2002-01-16 2004-08-09 삼성전자주식회사 코팅 처리된 기재
US20080264564A1 (en) 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
US20080213496A1 (en) * 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
US6780787B2 (en) * 2002-03-21 2004-08-24 Lam Research Corporation Low contamination components for semiconductor processing apparatus and methods for making components
US7026009B2 (en) * 2002-03-27 2006-04-11 Applied Materials, Inc. Evaluation of chamber components having textured coatings
US6784017B2 (en) * 2002-08-12 2004-08-31 Precision Dynamics Corporation Method of creating a high performance organic semiconductor device
US7166200B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US7137353B2 (en) 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US7147749B2 (en) 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US6798519B2 (en) 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7204912B2 (en) 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US6837966B2 (en) 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US7166166B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
KR100772740B1 (ko) 2002-11-28 2007-11-01 동경 엘렉트론 주식회사 플라즈마 처리 용기 내부재
US6907841B2 (en) * 2002-12-27 2005-06-21 Korea Institute Of Science And Technology Apparatus and method for synthesizing spherical diamond powder by using chemical vapor deposition method
US20040134427A1 (en) * 2003-01-09 2004-07-15 Derderian Garo J. Deposition chamber surface enhancement and resulting deposition chambers
WO2004095532A2 (en) 2003-03-31 2004-11-04 Tokyo Electron Limited A barrier layer for a processing element and a method of forming the same
EP1589567B1 (en) * 2003-09-16 2007-04-04 Shin-Etsu Quartz Products Co., Ltd. Member for plasma etching device and method for manufacture thereof
JP2005167227A (ja) * 2003-11-11 2005-06-23 Ibiden Co Ltd ガス噴出ヘッド、その製法、半導体製造装置及び耐食性材料
US7220497B2 (en) * 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
WO2006001975A1 (en) * 2004-06-15 2006-01-05 Tosoh Smd, Inc. Metal foam shield for sputter reactor
US7119032B2 (en) 2004-08-23 2006-10-10 Air Products And Chemicals, Inc. Method to protect internal components of semiconductor processing equipment using layered superlattice materials
US20060043067A1 (en) * 2004-08-26 2006-03-02 Lam Research Corporation Yttria insulator ring for use inside a plasma chamber
JP2006186306A (ja) * 2004-09-30 2006-07-13 Toshiba Ceramics Co Ltd ガス拡散プレートおよびその製造方法
TWI282597B (en) 2004-12-28 2007-06-11 Toshiba Ceramics Co Yttrium-containing ceramic coated material and method of manufacturing the same
JP2006207012A (ja) * 2004-12-28 2006-08-10 Toshiba Ceramics Co Ltd イットリウム系セラミックス被覆材およびその製造方法
JP2006199998A (ja) * 2005-01-20 2006-08-03 Seiko Epson Corp 成膜装置、成膜方法
JP4813115B2 (ja) * 2005-07-14 2011-11-09 国立大学法人東北大学 半導体製造装置用部材及びその洗浄方法
US20070079936A1 (en) * 2005-09-29 2007-04-12 Applied Materials, Inc. Bonded multi-layer RF window
US8608851B2 (en) * 2005-10-14 2013-12-17 Advanced Micro-Fabrication Equipment, Inc. Asia Plasma confinement apparatus, and method for confining a plasma
US7942970B2 (en) * 2005-12-20 2011-05-17 Momentive Performance Materials Inc. Apparatus for making crystalline composition
US7743731B2 (en) * 2006-03-30 2010-06-29 Tokyo Electron Limited Reduced contaminant gas injection system and method of using
US7514125B2 (en) * 2006-06-23 2009-04-07 Applied Materials, Inc. Methods to improve the in-film defectivity of PECVD amorphous carbon films
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
US10242888B2 (en) 2007-04-27 2019-03-26 Applied Materials, Inc. Semiconductor processing apparatus with a ceramic-comprising surface which exhibits fracture toughness and halogen plasma resistance
US8367227B2 (en) 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
KR101486553B1 (ko) * 2008-03-20 2015-01-26 주식회사 원익아이피에스 진공처리장치
US20100055298A1 (en) * 2008-08-28 2010-03-04 Applied Materials, Inc. Process kit shields and methods of use thereof
US20100140222A1 (en) * 2008-12-10 2010-06-10 Sun Jennifer Y Filled polymer composition for etch chamber component
US20130115418A1 (en) * 2011-11-03 2013-05-09 Coorstek, Inc. Multilayer rare-earth oxide coatings and methods of making
RU2515600C2 (ru) * 2011-11-29 2014-05-20 Федеральное государственное бюджетное образовательное учреждение высшего профессионального образования "Воронежский государственный технический университет" Способ получения наноструктурного покрытия
CN102522306A (zh) * 2011-12-29 2012-06-27 中微半导体设备(上海)有限公司 喷淋头
US20130277203A1 (en) * 2012-04-24 2013-10-24 Applied Materials, Inc. Process kit shield and physical vapor deposition chamber having same
CN102629541B (zh) * 2012-04-25 2016-02-17 中微半导体设备(上海)有限公司 喷淋头及其形成方法
US20140097752A1 (en) * 2012-10-09 2014-04-10 Varian Semiconductor Equipment Associates, Inc. Inductively Coupled Plasma ION Source Chamber with Dopant Material Shield
CN103794460B (zh) * 2012-10-29 2016-12-21 中微半导体设备(上海)有限公司 用于半导体装置性能改善的涂层
KR101486057B1 (ko) * 2013-05-31 2015-01-23 주)에코텍코리아 산화이트륨과 질화붕소를 이용한 반도체 cvd 공정용 제품의 제조방법
CN104347389B (zh) * 2013-07-23 2017-07-21 中微半导体设备(上海)有限公司 等离子体刻蚀方法
US11015244B2 (en) * 2013-12-30 2021-05-25 Advanced Material Solutions, Llc Radiation shielding for a CVD reactor
CN110189988B (zh) * 2014-06-11 2023-10-03 高美科株式会社 用于薄膜沉积设备的内部材料及其制造方法
KR101790394B1 (ko) 2014-06-11 2017-10-26 (주)코미코 박막 증착 장치용 내부재 및 이의 제조 방법
CN105304519A (zh) * 2014-07-11 2016-02-03 北京北方微电子基地设备工艺研究中心有限责任公司 内衬、内衬的制备方法及反应腔室
KR20170006807A (ko) 2015-07-09 2017-01-18 (주)티티에스 공정 챔버의 부품파트 및 화학기상증착에 의해 이트리아를 부품파트에 증착하는 방법
WO2017120241A1 (en) * 2016-01-07 2017-07-13 Applied Materials, Inc. Atomic layer etching system with remote plasma source and dc electrode
CN108779568B (zh) 2016-03-11 2021-06-01 应用材料公司 在半导体处理设备上以电化学方式形成氧化钇的方法
KR102603742B1 (ko) 2016-03-11 2023-11-16 어플라이드 머티어리얼스, 인코포레이티드 알루미늄 반도체 프로세스 장비를 위한 배리어 층으로서의 알루미늄 전기도금 및 산화물 형성
US20180005867A1 (en) * 2016-07-01 2018-01-04 Lam Research Corporation Esc ceramic sidewall modification for particle and metals performance enhancements
US20180016678A1 (en) 2016-07-15 2018-01-18 Applied Materials, Inc. Multi-layer coating with diffusion barrier layer and erosion resistant layer
US10407789B2 (en) 2016-12-08 2019-09-10 Applied Materials, Inc. Uniform crack-free aluminum deposition by two step aluminum electroplating process
US11261533B2 (en) 2017-02-10 2022-03-01 Applied Materials, Inc. Aluminum plating at low temperature with high efficiency
JP7065599B2 (ja) * 2017-12-28 2022-05-12 株式会社トクヤマ パイロリティック窒化ホウ素及びパイロリティック窒化ホウ素の製造方法、並びにパイロリティック窒化ホウ素を用いた結晶成長装置
CN108374160B (zh) * 2018-03-08 2019-10-22 南京元汀环境科技有限公司 一种钛基掺硼金刚石涂层的制备方法
CN110453195B (zh) * 2018-05-07 2021-09-28 中国科学院宁波材料技术与工程研究所 用于金属表面腐蚀防护的氮化硼复合薄膜、其制法与应用
US10923327B2 (en) * 2018-08-01 2021-02-16 Applied Materials, Inc. Chamber liner
CN111632205B (zh) * 2020-06-24 2023-04-14 北京华钽生物科技开发有限公司 一种应用于植入医疗器械的医用涂层及其制备方法
WO2022040233A1 (en) * 2020-08-21 2022-02-24 Lam Research Corporation Erosion resistant plasma processing chamber components
KR20240068730A (ko) * 2021-09-30 2024-05-17 엔테그리스, 아이엔씨. 코팅된 표면을 갖는 적층 제조된 물품 및 관련 방법

Family Cites Families (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4340462A (en) 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
US4397724A (en) 1981-08-24 1983-08-09 Bell Telephone Laboratories, Incorporated Apparatus and method for plasma-assisted etching of wafers
FR2538987A1 (fr) 1983-01-05 1984-07-06 Commissariat Energie Atomique Enceinte pour le traitement et notamment la gravure de substrats par la methode du plasma reactif
US4534816A (en) 1984-06-22 1985-08-13 International Business Machines Corporation Single wafer plasma etch reactor
US4612077A (en) 1985-07-29 1986-09-16 The Perkin-Elmer Corporation Electrode for plasma etching system
JPS62103379A (ja) 1985-10-29 1987-05-13 Showa Alum Corp Cvd装置およびドライ・エツチング装置における真空チヤンバの製造方法
JPS6362858A (ja) * 1986-09-01 1988-03-19 Toyota Motor Corp セラミツク溶射層の形成方法
JPH0741153Y2 (ja) 1987-10-26 1995-09-20 東京応化工業株式会社 試料処理用電極
US5024716A (en) 1988-01-20 1991-06-18 Canon Kabushiki Kaisha Plasma processing apparatus for etching, ashing and film-formation
JPH01275779A (ja) 1988-04-28 1989-11-06 Tanaka Kikinzoku Kogyo Kk クラッド容器
US5262029A (en) 1988-05-23 1993-11-16 Lam Research Method and system for clamping semiconductor wafers
FR2662704B2 (fr) 1989-06-08 1992-08-14 Inst Francais Du Petrole Utilisation d'alliages a base de nickel dans un procede de craquage thermique d'une charge petroliere et reacteur pour la mise en óoeuvre du procede.
US4948458A (en) 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
FR2662701B1 (fr) 1990-05-31 1997-07-18 Oreal Composition tinctoriale a base de 5,6-dihydroxyindolines et procede de teinture des fibres keratiniques.
US5192849A (en) 1990-08-10 1993-03-09 Texas Instruments Incorporated Multipurpose low-thermal-mass chuck for semiconductor processing equipment
US5074456A (en) 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
US5268217A (en) 1990-09-27 1993-12-07 Diamonex, Incorporated Abrasion wear resistant coated substrate product
US5200232A (en) 1990-12-11 1993-04-06 Lam Research Corporation Reaction chamber design and method to minimize particle generation in chemical vapor deposition reactors
EP1120817B8 (en) 1991-03-26 2007-10-10 Ngk Insulators, Ltd. Use of a corrosion-resistant member
US5273588A (en) 1992-06-15 1993-12-28 Materials Research Corporation Semiconductor wafer processing CVD reactor apparatus comprising contoured electrode gas directing means
US5366585A (en) 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
US5364522A (en) * 1993-03-22 1994-11-15 Liang Wang Boride, carbide, nitride, oxynitride, and silicide infiltrated electrochemical ceramic films and coatings and the method of forming such
US5522932A (en) 1993-05-14 1996-06-04 Applied Materials, Inc. Corrosion-resistant apparatus
US5891253A (en) 1993-05-14 1999-04-06 Applied Materials, Inc. Corrosion resistant apparatus
JPH07153370A (ja) * 1993-11-30 1995-06-16 Kyocera Corp 放電管
US5822171A (en) 1994-02-22 1998-10-13 Applied Materials, Inc. Electrostatic chuck with improved erosion resistance
JP3308091B2 (ja) 1994-02-03 2002-07-29 東京エレクトロン株式会社 表面処理方法およびプラズマ処理装置
US5798016A (en) 1994-03-08 1998-08-25 International Business Machines Corporation Apparatus for hot wall reactive ion etching using a dielectric or metallic liner with temperature control to achieve process stability
US5680013A (en) 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
EP0760526A4 (en) 1994-05-17 2001-01-10 Hitachi Ltd PLASMA TREATMENT DEVICE AND METHOD
US5641375A (en) 1994-08-15 1997-06-24 Applied Materials, Inc. Plasma etching reactor with surface protection means against erosion of walls
JPH0892747A (ja) * 1994-09-22 1996-04-09 Sekisui Chem Co Ltd 基板の表面処理方法
US5885356A (en) 1994-11-30 1999-03-23 Applied Materials, Inc. Method of reducing residue accumulation in CVD chamber using ceramic lining
US5569356A (en) 1995-05-19 1996-10-29 Lam Research Corporation Electrode clamping assembly and method for assembly and use thereof
JP3164200B2 (ja) * 1995-06-15 2001-05-08 住友金属工業株式会社 マイクロ波プラズマ処理装置
US5824605A (en) 1995-07-31 1998-10-20 Lam Research Corporation Gas dispersion window for plasma apparatus and method of use thereof
US5686796A (en) 1995-12-20 1997-11-11 International Business Machines Corporation Ion implantation helicon plasma source with magnetic dipoles
US5838529A (en) 1995-12-22 1998-11-17 Lam Research Corporation Low voltage electrostatic clamp for substrates such as dielectric substrates
JPH09272965A (ja) * 1996-04-09 1997-10-21 Toshiba Corp 真空成膜装置用部品とそれを用いた真空成膜装置、およびターゲット、バッキングプレート
JP3360265B2 (ja) 1996-04-26 2002-12-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US5863376A (en) 1996-06-05 1999-01-26 Lam Research Corporation Temperature controlling method and apparatus for a plasma processing chamber
US5820723A (en) 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US6048798A (en) 1996-06-05 2000-04-11 Lam Research Corporation Apparatus for reducing process drift in inductive coupled plasma etching such as oxide layer
US5904778A (en) 1996-07-26 1999-05-18 Applied Materials, Inc. Silicon carbide composite article particularly useful for plasma reactors
WO1999021806A1 (fr) * 1997-10-23 1999-05-06 Nippon Tungsten Co., Ltd. Agglomere ceramique a base d'alumine et procede de production dudit agglomere
US6120640A (en) * 1996-12-19 2000-09-19 Applied Materials, Inc. Boron carbide parts and coatings in a plasma reactor
US5879523A (en) 1997-09-29 1999-03-09 Applied Materials, Inc. Ceramic coated metallic insulator particularly useful in a plasma sputter reactor
US6379575B1 (en) * 1997-10-21 2002-04-30 Applied Materials, Inc. Treatment of etching chambers using activated cleaning gas
US6129808A (en) 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
JPH11340144A (ja) * 1998-05-22 1999-12-10 Hitachi Ltd 半導体装置の製造方法
US6123791A (en) * 1998-07-29 2000-09-26 Applied Materials, Inc. Ceramic composition for an apparatus and method for processing a substrate
JP2000096240A (ja) * 1998-09-25 2000-04-04 Neos Co Ltd プラズマ化学蒸着用有孔電極板の処理方法
JP3046288B1 (ja) * 1998-12-28 2000-05-29 京セラ株式会社 半導体・液晶製造装置用部材
JP2002249864A (ja) * 2000-04-18 2002-09-06 Ngk Insulators Ltd 耐ハロゲンガスプラズマ用部材およびその製造方法
US6620520B2 (en) * 2000-12-29 2003-09-16 Lam Research Corporation Zirconia toughened ceramic components and coatings in semiconductor processing equipment and method of manufacture thereof
US6537429B2 (en) * 2000-12-29 2003-03-25 Lam Research Corporation Diamond coatings on reactor wall and method of manufacturing thereof
US6613442B2 (en) * 2000-12-29 2003-09-02 Lam Research Corporation Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof
US6533910B2 (en) * 2000-12-29 2003-03-18 Lam Research Corporation Carbonitride coated component of semiconductor processing equipment and method of manufacturing thereof

Also Published As

Publication number Publication date
US20020086554A1 (en) 2002-07-04
TW533494B (en) 2003-05-21
US6613442B2 (en) 2003-09-02
KR20030066756A (ko) 2003-08-09
CN1484712A (zh) 2004-03-24
WO2002053799A1 (en) 2002-07-11
JP2004523649A (ja) 2004-08-05
EP1364075A1 (en) 2003-11-26
KR100830068B1 (ko) 2008-05-16
US20040137147A1 (en) 2004-07-15
US6773751B2 (en) 2004-08-10
CN1484712B (zh) 2010-04-21

Similar Documents

Publication Publication Date Title
JP4634005B2 (ja) 半導体処理装置の窒化ホウ素とイットリアとの複合材料の構成部品及びその製造方法
JP4358509B2 (ja) 反応室壁上のダイヤモンド被膜及びその製造方法
JP4451596B2 (ja) 半導体処理装置の炭窒化物でコーティングされた要素及びその製造方法
JP4608159B2 (ja) 半導体処理装置の耐腐食性部材およびその製造方法
KR100916952B1 (ko) 반도체 처리 장비의 풀러린 코팅 컴포넌트
JP2004525517A (ja) 半導体処理装置内の酸化セリウムを含有するセラミック構成部品及び被膜
JP2005521250A (ja) 半導体処理装置用の低汚染構成部品及びその製造方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20041124

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20041124

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20060928

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20061010

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20070110

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20070117

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070305

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070416

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070817

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20071221

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080306

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20080410

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080507

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20080829

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101012

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20101118

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 4634005

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131126

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees