TW533494B - Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof - Google Patents

Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof Download PDF

Info

Publication number
TW533494B
TW533494B TW090130001A TW90130001A TW533494B TW 533494 B TW533494 B TW 533494B TW 090130001 A TW090130001 A TW 090130001A TW 90130001 A TW90130001 A TW 90130001A TW 533494 B TW533494 B TW 533494B
Authority
TW
Taiwan
Prior art keywords
coating
component
patent application
scope
boron nitride
Prior art date
Application number
TW090130001A
Other languages
English (en)
Inventor
Robert J O'donnell
Christopher C Chang
John E Daugherty
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Res Corp filed Critical Lam Res Corp
Application granted granted Critical
Publication of TW533494B publication Critical patent/TW533494B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Other Surface Treatments For Metallic Materials (AREA)

Description

533494 A7 B7 五、發明説明( 發明背景 發明領域 本發明係關於一種半導體加工設備及改善該組件腐蝕抗 性之方法。 相關技藝之敘述 半導體加工之領域中,一般均使用眞空加工室,藉由供 給蝕刻或沉積氣體於眞空室中,且施加R F電場於氣體,對 氣體施加能量成爲電漿態,蝕刻且化學蒸氣沉積(CVD)基 材上之物質。平行板、變壓器耦合電漿(TCPTM)(其亦稱之 爲謗發性耦合電漿(ICP),及電子-粒子迴旋加速器共振 (ECR)反應器及其組件之實例揭示於美國專利第4,340,462; 4,948,458; 5,200,232及5,820,723中。因爲該反應器中電漿 環境之腐蝕性質,及最小粒徑之需求及/或重金屬污染,該 設備之組件極需要呈現高的腐蚀抗性。 半導體基材之加工過程中,基材一般均以基材固定器如 機械夹具及靜電夾具(ESC)固定於眞空室中。該夾具系統及 其組件之實例可見於美國專利第5,262,029及5,838,529號中 。加工氣體可以以各種方式如氣體噴嘴、氣體環、氣體分 布盤等加於室中。謗發性耦合之電漿反應器及其組件之溫 度控制氣體分布盤之實例見於美國專利第5,863,376號中。 除電漿室設備外,半導體基材加工用之其他設備包含傳輸 機構、氣體供給系統、内襯、升降機構、負荷鎖、門機構 、機械臂、固定器、等。該設備之组件會因半導體加工而 遭遇各種腐蝕條件。另外,對於半導體基材如矽晶圓,及 -4 - 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 533494 A7 .____B7_ 五、發明説明(2 ) 介電材料如用於平板顯示器之玻璃基材之加工高純度要求 之觀點’在該壤境下極需要具有改良腐蚀抗性之組件。 電漿反應器之壁、電極、基材支撐材、固定器及其他組 件一般均使用銘及銘合金。爲避免各金屬組件腐蚀,曾提 出各種技術以各種塗料塗佈鋁之表面。例如,美國專利第 5,641,375號揭示經陽極化,以降低電漿腐蝕及壁磨損之鋁 A壁。’3 75專利敘述錢射或餘刻最終之陽極化層,且須取 代室。美國專利第5,895,586號列出日本申請案公開第62- 1033 79號中在鋁材料上形成a1203,A1C,TiN,TiC,A1N等之 抗腐触薄膜之技術。 美國專利第5,680,013號列出在美國專利第4,491,496號中 揭示之蝕刻室之金屬表面上火焰噴佈Al2〇3技術。該,013號 專利列出鋁及陶瓷塗層如氧化鋁間熱膨脹係數之差異,使 之因熱循環導致龜裂,最後使塗層在腐蝕環境中受損。爲 保護室壁,美國專利第5,366,585、5,798,016及5,885,356號 提出内襯排列。例如,016號專利揭示陶瓷、鋁、鋼及/或石 英内襯’且針對機械加工性之難易以鋁較佳,且對於塗佈 銘以提供銘對電漿之保護,較好爲具有氧化鋁、Sc2〇3或 丫2〇3之塗層,且以Ah〇3較佳。,585專利揭示厚度至少爲 0.005英吋且由固態氧化鋁機製之固定式陶瓷内襯。,585專 利亦提出可藉由火焰噴佈或電漿噴佈氧化鋁在不消耗下層 鋁下沉積陶瓷層之應用。,356專利揭示氧化鋁陶瓷内襯及 晶圓柱用之淡化鋁陶瓷保護。美國專利第5,885,356號揭示 CVD室用之陶瓷内襯材料。 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 533494 A 7 __ B7______ 五、發明説明(3 ) 各種塗層已被提出用於半導體加工設備用之金屬組件。 美國專利第5,879,523號揭示賤射室,其中Al2〇3之熱漱射塗 佈係以其間之選用NiAlx結合塗層塗佈於不銹鋼或鋁金屬上 。美國專利第5,522,932號及5,891,53號揭示其間具有選用之 鎳塗層之基材電漿加工用之裝置之金屬組件用之铑塗層。 美國專利第5,680,013號揭示電漿加工室中之金屬表面用之 未鍵結陶瓷保護,較佳之陶瓷材料爲燒結之AIN,次佳之 材料包含氧化鋁、氟化鎂及氧化鎂。美國專利第5,904,778 號揭示用作室壁、室保護或環繞晶圓之collar之固定SiC上 之SiC CVD塗層。 關於電漿反應器組件如噴霧頭氣體分佈系統,已針對噴 霧頭之材料提出各種提案。例如,美國專利第5,569,356號 揭示矽、石墨或後化矽之噴頭。美國專利第5,494,713號揭 示在鋁電極上形成之耐酸鋁薄膜,及在耐酸鋁薄膜上之碎 塗層膜如氧化矽或氮化矽。,713號專利列出矽塗層膜之厚 度應爲1 0微米或更低,較好約5微米,因爲鋁塗層膜、耐 酸鋁塗層膜及矽塗層膜具有不同之内襯膨脹係數,且在碎 塗層膜之厚度太厚時容易造成龜裂。然而,厚度低於5微米 並不適當’因爲對銘基材之保護不足。美國專利第 4,5:>4,516號揭示不錶鋼、銘、銅等之上喷頭電極。美國專 利第4,612,077號揭示一種鎂之噴頭電極。美國專利第 5,888,907號揭示一種無定型碳、SiC或Α1之噴頭電極。美 國專利第5,006,220號及5,022,979號揭示一種全部由SiC製成 ,或以後爲基礎藉由CVD沉積塗佈SiC得到高純度Sic表面 本紙張尺度適用中國國家標準(CNS) A4規格(210X 297公釐)
裝 訂
533494 A7 ______B7 五、發明説明(4 ) 層之噴頭電極。 針對半導體加工設備之組件用之高純度及腐蝕抗性之需 求’技藝中需要對該組件所用之材料及/或塗層進行改良。 再者,關於室之材料,任一種可增加電漿反應器室之使用 哥命,且因此降低裝置之停工期之材料均可有利的用於降 低半導體晶圓之加工成本。 發明概要 依據本發明之第一目的爲在半導體加工設備組件之表面 上提供一種含抗腐蝕氮化硼/氧化釔塗層之方法。該方法包 含使氮化硼/氧化紀之塗層沉積在加工設備組件之表面上, 以形成抗外邵腐蝕之表面。抗腐蝕之表面意指可保護卞層 之材料免k氣漿▲氣體之腐姓作用,同時使塗層可抗電漿 罜氣體之腐蝕。欲塗佈之製程設備組件之下表面可包括金 屬、陶瓷或聚合物材料,且較佳之材料爲陽極化之鋁。 "較佳具體例中,可在半導體加工設備之表面及含氮化硼/ 氧化釔複合材塗層之間使用一或多層之中間金屬、陶瓷或 聚合物塗層。可塗佈之金屬表面包含電漿室中所用之陽極 化或未陽極化之鋁、不銹鋼、耐火金屬如鉬或其他金屬或 合金。可塗佈之金屬表面包含氧化鋁、Sic、A1N、Si3&、 BC或他電漿可相容之陶瓷材料。可塗佈之聚合物表面包含 氟聚合物,如Teflon®,聚亞醯胺如Vespel®,及其他在溫度 低於20 0°C下於電漿室中使用之聚合物材料。 依據本發明之目的係提供一種金屬組件。該組件包含: (a)金屬表面;(b)金屬表面上選用之第_種中間塗層; 本紙張尺度適用中國國家標準(CNS) A4規格(210X 297公釐) 533494
(C)在第一中間物塗層上或在金屬表面上選用之第二種中 間塗層;及在該組件上之含氮化爛/氧化纪複合材之塗層, 其可提供抗腐蝕之外表面。第一及第二中間塗層之各層可 A金屬或其合金、陶瓷、聚合物或電漿室反應器中所用之 材料之混合物或複合材。 依據本發明另一目的係提供一種由含氮化硼/氧化釔複合 材之材料製成之半導體加工設備組件。該組件可包含任— 或多層之該設備中用之塗層。 附圖之簡要敛述 本發明之目的及優點由下列其較佳具體例之詳細敘述配 合附圖將變得顯而易見,其中: 圖1爲具有塗佈本發明抗腐蝕塗層組件之電漿反應器室之 簡要剖面圖。 圖2詳細顯示圖1之抗腐蝕塗層之細節。 冬發明較佳具體例之詳細敘沭 本發明提供一種有效之方法,該方法係藉由使用抗腐蝕 塗層對半導體加工裝置,如電漿加工反應器室之組件之金 屬、陶资及I合物表面提供腐敍抗性。該組件包含室壁、 基材支撐物、包含噴頭、檔板、環、噴嘴等之氣體分散系 統、固定器、加熱元件、電漿濾網、内襯、傳輸模組組件 、如機械臂、固定器、室之内及外壁,等。 雖然本發明用於可用於具有金屬、陶瓷或聚合物表面之 任一類型組件,但爲方便説明起見,本發明將參考美國專 利第5,820,723號中敘述之裝置詳細說明,該專利在此提出 -8- 本紙張尺度適用中國國家標準(CNS) A4規格(210X 297公釐) 裝 訂
533494 A7 _______B7 五、發明説明(6 ) ""一 ' - 供參考。 圖1說明眞2加工反應器室10’包含對基材提供靜電 夾緊力以及對基材提供RF偏斜同時以He0冷卻之基材支 撐器70。聚集環72將電漿圍在基材上之區域中。使室中之 電漿維持高密度(例如1011- 1〇12離子/cm3)之能量源,如以 適當之RF電源施加電力以提供高密度電漿之天線4〇係配置 在反應器室10之上方。該室包含藉由中心配置在室之底部 之眞空抽S槽20將室抽眞空,使室之内部3〇維持在所需壓 力(例如低於50 mTorr,一般爲1-20 mTorr)之適用眞空泵浦 裝置。 裝置在天線40及加工室10之間之均勻厚度之實質平面之 介電視窗50在加工室10之上方形成眞空壁。視窗2〇之下方 裝置氣體分佈板52 ’且包含開口如圓形之洞,以輸送氣體 供給室之加工氣體到達室10中。圓錐形内襯5 4自氣體分佈 板延伸,且環繞基材支撐材7 0。 操作時,係將半導體基材6 0如矽晶圓置於基材支撐材7 〇 之上,且一般係藉由靜電夾具74固定,同時使用He回冷。 接著藉由使製程氣體通過視窗50及氣體分佈板52間之間隙 ,將加工氣體供給至眞空加工室10中。適當之氣體分散板 排列(亦即噴頭)係揭示於美國專利第5,824,605; 6,〇48,798; 及5,863,376號中,該揭示均在此提出供參考。例如,雖然 圖1中之視窗及氣體分散板排列爲平面且厚度均勻,但非平 面及/或不均勻厚度之形狀亦可用作視窗及/或玻璃分散板 。藉由對天線4 0施加適當之R F電力激發基材及視窗間之空 本紙張尺度適用中國國家標準((:1^8) A4規格(210 X 297公釐) 533494 A7 ----— —_B7 五、發明説明(1 ) " " *~-- 間中之高密度電漿。 室壁2 8如陽極化或未締陽揣仆+ ^雜 '木,、文險極化I鋁壁及金屬、陶资或聚 合物組件如基材支撑材7〇、固定件56、内觀54等(其均暴 露於電漿中且呈現腐蝕現象)均可依本發明塗佈,因此可避 免在電漿1:操作過程中需將其遮蔽。可塗佈之金屬及/或合 金之實例包含陽極化或未經陽極化之銘及其合金、不鐵鋼 、耐火金屬如W及Μο及其合金、銅及其合金等。可塗佈之 陶t:表面實例包含氧化!g、SlC,A1N,叫队,%及Τι〇2。 市售可塗佈之聚合物材料實例包含氟聚合物如丁⑷⑽③,聚 亞醯胺如Vespel®,及其他在溫度達2〇(rc時用於電漿室中 之聚合物材料。較佳具體例中,欲塗佈之組件爲具有陽極 化或未將陽極化鋁表面29之室壁28。本發明之塗層可使用 鋁合金,不管其组成(因此除高純度鋁外,可使用更經濟之 鋁合金)、晶粒結構或表面條件。下列敘述中,欲塗佈組件 之實例爲具有第一選用之中間塗層8〇之鋁金屬室壁28、第 二選用之間塗層9 0及含氮化硼/氧化釔複合材之塗層1〇〇, 如圖2中之説明。 爲確保塗佈材料之良好黏著,鋁基材28之表面在塗佈前 車父好充分的清潔’以移除表面物質如氧化物或油脂。另外 ,最好使基材表面變粗糙,使基材表面陽極化,且在塗佈 任一所需塗層前使陽極化之基材表面變粗。 依據本發明,第一中間塗層8 0可以以一般技術,視情況 塗佈在鋁側壁2 8上。選用之第一中間塗層8 〇足夠厚以便附 著於基材上,且在形成選用之第二中間塗層9〇或下述之含 -10 - 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 533494 A7 ___ B7 五、發明説明(8 ) 氮化硼/氧化纪複合材塗層之前進一步加工。第一中間塗層 80可具有任一適當之厚度如厚度至少約〇 〇〇1英吋,較好爲 約0.001至約0.25英吋,更好在o.ooi至〇.1英吋之間,且最 好爲0.001至0.05英吋。 將選用之第一中間塗層8 0沉積在鋁基材2 8上之後,可以 以任一適用之技術使電鍍終止或變粗,接著覆蓋塗佈第二 選用之塗層90或含氮化硼/氧化釔複合材之塗層1〇〇。打粗 之層8 0提供特別好之結合。期望上,第二中間塗層9 〇對塗 層80賦予高的機械壓縮強度,且使塗層9〇中裂缝之形成爲 最小。 選用之第二中間塗層90夠厚以黏著第一中間塗層8〇,且 再形成任一額外之中間塗層或下述之外部含氮化硼/氧化釔 複合材塗層1〇〇之前進一步加工。第二中間塗層可具有 任一適當之厚度如厚度至少爲約〇·〇〇1英吋,較好爲約〇 〇〇1 至約0·25英吋,更好在0.001至〇 1英吋之間,且最好爲 〇·〇〇1 至 0.05 英吋。 第一及第二中間塗層可由任一種或多種一般電漿加工室 中所用之材料製成。該材料之實例包含金屬、陶瓷及聚合 物。最佳之金屬包含任一種或多種耐火金屬、含該金屬之 複合材或合金。最佳之陶瓷包含Al2〇3, Sic,%%,BC, A1N,Ti〇2等。最佳之聚合物包含氟聚合物如,聚 亞醯胺如Vespel®,及其他在溫度達2〇〇。(:時用於電漿室中 之聚合物材料。可用作中間層之特殊材料亦包含含填料之 材料,含其他硬質碳之材料如含氮化硼/氧化釔複合材之材 -11- 本紙張尺度適用中國國家標準(CNS) A4規格(210 x 297公釐) 533494 A7 B7 五 發明説明(9 料;例如給、鈕、鈦及/或矽之碳化物、硼化物、氮化物及 /或碳氮化物;碳化硼;氮化硼;碳氮化硼;氧化結;氧化 I乙或任一上述材料之混合物。 期望選用之第一及第二中間層80及90爲上述材料之任一 種使塗層依所需之性質爲相同或不同。亦期望可使用相 同或不同材料之額外中間塗層如第三、第四或第五中間塗 層。 裝
含氮化硼/氧化釔複合材之塗層1〇〇沉積在選用之第二中 間塗層90上,或在選用之第一中間塗層8〇上,或在鋁基材 28上。含氮化硼/氧化釔塗層之厚度期望至少爲〇 〇〇1英吋 ’較好爲約0.001至約〇·25英吋,更好在〇·〇〇ι至〇1英吋之 間,且最好爲0.001至〇〇5英吋。含氮化硼/氧化釔複合材之 塗層1 〇〇之厚度可經選擇,使之與反應室中碰到之電漿環境 (例如蚀刻、CVD等)相容。該含氮化硼/氧化釔複合材之層 可塗佈在上述反應器室或組件之全部或部分上。最好,氮 化爛/氧化釔複合材塗層之厚度爲可對下層尤其是基材,在 暴露於腐蚀之室氣體中時可提供侵蝕及/或腐蝕保護之厚度。 本發明之含氮化硼/氧化釔複合材之塗層100含氮化硼及 氧化釔二者。複合材之氮化硼成分可爲任一種六面體,立 方體或其混合物。最好氮化硼成分爲1 〇〇%立方體相或含立 方體相之比例超過6 0重量%,較好超過8 〇重量%,且最好 超過90重量%。氮化硼之立方體形式具有較高之密度,極 硬且可再高溫極高壓下由六面體製成。相反的,氮化硼可 爲100%六面體相。 -12- 本、紙張尺度適用中國國家標準(CNS) A4規格(210X 297公釐) 533494 A7 B7 五、發明説明(1〇 ) --- 複合材之以成分含量可爲全部複合材之約1%至99%之間 ,杈好約4 0至9 9 %足間,更好爲約6 〇至8 〇 %之間。氮化硼 成分之含量可爲全部複合材之約1%至99%,較好約1至 6 0 %,且更好約2 〇至4 0 %之間。 複合材可包含其量達約5 〇%全部複合材或更高之其他保 濩性材料。較好,氮化硼、氧化釔或氧化锆形成該複合材 中之連1基貝相。更好,本發明之複合材包含約丄至W重 之額外材料,且更好在約1至2〇重量%之額外材料,且 最好爲約1至1〇重量%之額外材料(以複合材爲準)。 汶材料可包含一種或多種電將加工室中所用之材料。該 材料之實例包含任-種或多種金屬、陶资及聚合物。最佳 之金屬包含任一種或多種耐火金屬、含該金屬之複合材或 ^金:最佳之陶资包含Al2〇3, SlC,Si3N4, BC,Α1Ν,τκ)2 等。取佳<聚合物包含氟聚合物如Teflon<§)、聚亞醯胺如 VespefK在達到20(rc之溫度下可用於電漿室中之其他聚 合物材料。相信大部分所需之材料將單獨包含氮化硼/氧化 釔複合材之材料;例如給、姮、鈦及/或矽之碳化物、硼化 物、氮化物及/或碳氮化物;碳化硼;氮化硼;碳氮化硼; 氧化锆;氧化釔或任一上述材料之混合物。 本發明之含氮化硼/氧化釔複合材塗層1〇〇可藉由已知之 塗佈技術,如熱噴佈、電漿噴佈,化學蒸氣沉積、昇華、 雷射蒸發、濺射、濺射沉積、離子束塗佈、噴霧塗佈、浸 潰塗佈、蒸發、滾塗、刷塗、等沉積在所需表面上。期望 具有或不含其他材料之中間層之多層含氮化硼/氧化釔複合 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) -13- --------- B? 五、發明説明(11 ) 材塗層使用任一種適用之技術沉積在所需表面上。 依本發明之另一目的係提供一種由含氮化硼/氧化釔複合 材材料製成之半導體加工設備組件。該組件可包含一般用 於該組件中之一或多層塗層。 藉由使用本發明之含氮化硼/氧化釔複合材塗層或組件, 車又好得到超硬、柷腐姓之表面。該塗層或組件較好不含與 加工主氣體反應之材料,且爲化學惰性,使其顆粒污染低 或/又有’腐姓低或沒有、金屬之污染低或沒有,及/或揮發 性蝕刻產物少或沒有。 較好含氮化硼/氧化釔複合材之塗層或組件置於會或不會 暴露在電漿環境中之區域,如零件與電漿直接接觸,或零 件在室組件之中,如内襯等,以避免在反應器室中加工之 半導體基材受到金屬之污染。最好限制或排除過度金屬之 粉塵;例如週期表中元素2 1至2 9 (銳至銅)、3 9至4 7 (釔至 銀)、57至79(鑭至金)及自89(封)之所有已知元素之一種 或多種。因此,依據本發明之一優點,可藉由壓制侵蝕或 腐蝕形成之該粉塵降低沉積之薄膜中不滿意之蝕刻或不希 望形成之針孔。 雖然本發明已經參考其特定之具體例詳細敘述,但熟習 本技藝者應了解可進行各種改變及改良及使用對等物,且 均不離本發明之範圍。 -14- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公董)

Claims (1)

  1. 533494 8 8 8 8 A B c D 第〇9〇13〇001號專利申請案 中文申請專利範圍替換本(92年1月) 六、申請專利範圍 — 1 · 種塗饰半導體加工設備之組件表面之方法,包括: (a)視情況將第一種中間塗層沉積在半導體加工設備 之組件表面上; (b )視情況將第二種中間塗層沉積在該第一中間層或 該表面上、及 (c)將含氮化测/氧化紀複合材之塗層沉積在該組件上 ’形成外部抗腐蝕之表面。 2 ·如申凊專利範圍第1項之方法,其中該組件知該表面包 括金屬、陶瓷或聚合物表面。 3 ·如申請專利範圍第2項之方法,其中該表面為陽極化之 鋁。 4.如申凊專利範圍第1項之方法,其中該第一中間塗層為 非選用。 5 ·如申請專利範圍第4項之方法,其中該第一中間塗層包 括金屬、陶瓷或聚合物塗層。 6 ·如申請專利範圍第1項之方法,其中該組件包括電漿蝕 刻室之室壁。 7 ·如申凊專利範圍第1項之方法,尚包括在該組件上形成 粗縫表面,將該含氮化硼/氧化釔複合材之塗層沉積在該 粗糙表面之上。 8 ·如申請專利範圍第1項之方法,其中該含氮化侧/氧化乾 複合材之塗層包含立方體相、六面體相或其混合物。 9 ·如申请專利範圍第1項之方法,其中含氮化/氧化紀複 合材之塗層包括至少一種除氮化硼或氧化釔之材料。 本紙張尺度適财_家鮮(CNS) A4規格(21Qχ 297公爱) 533494 Λ BCD 、申請專利範圍 1 〇 ·如申請專利範圍第9項之方法,並 ;陶瓷及聚合物。 "以八才料為金屬 11·如申請專利範圍第10項之方法, 錘。 其中藏其他材料為氧化 U.如中請專利範圍第1G項之料,其中該其 化鈦、硼化鈦、氮化鈦、碳化 十匕》反 混合物。 ㈣石夕、氮切或其 13. 如中請專利範圍第9項之方法,其中該氧錢包括約 至約8 0重量%之該複合材。 14. 如申請專利·化項之方法,其中該氮化删包括該複 合材之約2 0至約4 0重量%。 15·如申請專利範圍第1項之方法,其中該含氮化蝴/氧化紀 複合材之塗層係以化學蒸氣沉積、電漿噴佈、昇華、雷 射蒸發、賤射,藏射沉積、離子束塗佈、噴霧塗怖、浸 潰塗佈、蒸發塗佈、滾動塗佈或刷塗沉積。 16. —種半導體加工設備之組件,包括: (a) 表面; (b) 在該表面上之選用第一中間塗層; (c) 在該弟一中間塗層或該表面上之選用第二中間塗 層;及 (d) 在該組件上之含氮化硼/氧化釔複合材之塗層,形 成外部抗腐姓表面。 1 7 ·如申請專利範圍第丨6項之組件,其中該表面為金屬、陶 竞或聚合物表面。 -2- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐)
    圍 範 A B c D 其中該表面為陽極化之 其中該組件包括電漿| ,其中該含氮化硼/氧| 六面體相或其混合物。 其中該含氮化硼/氧十 其中該其他材料為金 其中該其他材料為氧 其中該氧化釔包括# 其中該氮化硼包括t3 含氮化硼/氧化紀複合材之塗層或中間塗> 尚包括一層或多層 •如申凊專利範圍第1 7項之組件 鋁。 •如申請專利範圍第1 6項之組件,其中該第一中間塗層^ 選用。 2 〇 ·如申請專利範圍第1 6項之組件 刻室之室壁。 •如申請專利範圍第1 2項之組件 氣複合材之塗層包含立方體相、 2 ·如申請專利範圍第1 6項之組件 · .。^ ,刊 乾複合材之塗層包括至少一種除氮化硼及氧化釔以外 材料。 2 3 ·如申請專利範圍第2 2項之組件 :陶瓷及聚合物。 2 4.如申請專利範圍第23項之組件 锆。 25.如申請專利範圍第23項之組件,其中該其他材料包含 化鈦、硼化鈦、氮化鈦、碳化矽、硼化矽、氮化矽二 混合物。 " S 2 6 ·如申請專利範圍第丨6項之組件 至約80重量%之該複合材。 2 7 ·如申請專利範圍第1 6項之組件 合材之約2 0至約4 0重量%。 2 8 ·如申請專利範圍第1 6項之組件
    -3 - A B c D 533494 六、申請專利範圍 2 9 .如申請專利範圍第1 6項之組件,其中氮化硼或以形成在 含該氮化硼/氧化釔之塗層中之連續基質相。 30. —種具有至少一暴露於設備中之電漿中之表面之半導體 加工設備組件,該組件包括形成暴露於設備中之電漿中之 厚度至少0.001英付之含氮化测/氧化乾複合材之材料。 3 1 .如申請專利範圍第3 0項之組件,其中該全部之組件係由 該含該氮化硼/氧化釔複合材之材料組成。 -4- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X297公釐)
TW090130001A 2000-12-29 2001-12-04 Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof TW533494B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/749,924 US6613442B2 (en) 2000-12-29 2000-12-29 Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof

Publications (1)

Publication Number Publication Date
TW533494B true TW533494B (en) 2003-05-21

Family

ID=25015789

Family Applications (1)

Application Number Title Priority Date Filing Date
TW090130001A TW533494B (en) 2000-12-29 2001-12-04 Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof

Country Status (7)

Country Link
US (2) US6613442B2 (zh)
EP (1) EP1364075A1 (zh)
JP (1) JP4634005B2 (zh)
KR (1) KR100830068B1 (zh)
CN (1) CN1484712B (zh)
TW (1) TW533494B (zh)
WO (1) WO2002053799A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI465155B (zh) * 2005-07-14 2014-12-11 Univ Tohoku 半導體製造裝置用構件及其洗淨方法
TWI514464B (zh) * 2012-04-25 2015-12-21

Families Citing this family (70)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3510993B2 (ja) * 1999-12-10 2004-03-29 トーカロ株式会社 プラズマ処理容器内部材およびその製造方法
TW514996B (en) 1999-12-10 2002-12-21 Tokyo Electron Ltd Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
JP4790896B2 (ja) * 2000-05-26 2011-10-12 エーユー オプトロニクス コーポレイション トップゲート型tftを含むアクティブマトリックスデバイスの製造方法および製造装置
US6613442B2 (en) * 2000-12-29 2003-09-02 Lam Research Corporation Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof
US7670688B2 (en) * 2001-06-25 2010-03-02 Applied Materials, Inc. Erosion-resistant components for plasma process chambers
KR100443772B1 (ko) * 2002-01-16 2004-08-09 삼성전자주식회사 코팅 처리된 기재
US20080213496A1 (en) * 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
US20080264564A1 (en) * 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
US6780787B2 (en) * 2002-03-21 2004-08-24 Lam Research Corporation Low contamination components for semiconductor processing apparatus and methods for making components
US7026009B2 (en) * 2002-03-27 2006-04-11 Applied Materials, Inc. Evaluation of chamber components having textured coatings
US6784017B2 (en) * 2002-08-12 2004-08-31 Precision Dynamics Corporation Method of creating a high performance organic semiconductor device
US7166166B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US6837966B2 (en) 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US7166200B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US7147749B2 (en) 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US7137353B2 (en) 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US7204912B2 (en) 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US6798519B2 (en) 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
KR100772740B1 (ko) 2002-11-28 2007-11-01 동경 엘렉트론 주식회사 플라즈마 처리 용기 내부재
US6907841B2 (en) * 2002-12-27 2005-06-21 Korea Institute Of Science And Technology Apparatus and method for synthesizing spherical diamond powder by using chemical vapor deposition method
US20040134427A1 (en) * 2003-01-09 2004-07-15 Derderian Garo J. Deposition chamber surface enhancement and resulting deposition chambers
WO2004095532A2 (en) 2003-03-31 2004-11-04 Tokyo Electron Limited A barrier layer for a processing element and a method of forming the same
US7645526B2 (en) * 2003-09-16 2010-01-12 Shin-Etsu Quartz Products, Ltd. Member for plasma etching device and method for manufacture thereof
JP2005167227A (ja) * 2003-11-11 2005-06-23 Ibiden Co Ltd ガス噴出ヘッド、その製法、半導体製造装置及び耐食性材料
US7220497B2 (en) * 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
US20070158188A1 (en) * 2004-06-15 2007-07-12 Ivanov Eugene Y Metal foam shield for sputter reactor
US7119032B2 (en) * 2004-08-23 2006-10-10 Air Products And Chemicals, Inc. Method to protect internal components of semiconductor processing equipment using layered superlattice materials
US20060043067A1 (en) * 2004-08-26 2006-03-02 Lam Research Corporation Yttria insulator ring for use inside a plasma chamber
JP2006186306A (ja) * 2004-09-30 2006-07-13 Toshiba Ceramics Co Ltd ガス拡散プレートおよびその製造方法
TWI282597B (en) 2004-12-28 2007-06-11 Toshiba Ceramics Co Yttrium-containing ceramic coated material and method of manufacturing the same
JP2006207012A (ja) * 2004-12-28 2006-08-10 Toshiba Ceramics Co Ltd イットリウム系セラミックス被覆材およびその製造方法
JP2006199998A (ja) * 2005-01-20 2006-08-03 Seiko Epson Corp 成膜装置、成膜方法
US20070079936A1 (en) * 2005-09-29 2007-04-12 Applied Materials, Inc. Bonded multi-layer RF window
US8608851B2 (en) * 2005-10-14 2013-12-17 Advanced Micro-Fabrication Equipment, Inc. Asia Plasma confinement apparatus, and method for confining a plasma
US7942970B2 (en) * 2005-12-20 2011-05-17 Momentive Performance Materials Inc. Apparatus for making crystalline composition
US7743731B2 (en) * 2006-03-30 2010-06-29 Tokyo Electron Limited Reduced contaminant gas injection system and method of using
US7514125B2 (en) * 2006-06-23 2009-04-07 Applied Materials, Inc. Methods to improve the in-film defectivity of PECVD amorphous carbon films
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
US10242888B2 (en) 2007-04-27 2019-03-26 Applied Materials, Inc. Semiconductor processing apparatus with a ceramic-comprising surface which exhibits fracture toughness and halogen plasma resistance
US8367227B2 (en) 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
KR101486553B1 (ko) * 2008-03-20 2015-01-26 주식회사 원익아이피에스 진공처리장치
US20100055298A1 (en) * 2008-08-28 2010-03-04 Applied Materials, Inc. Process kit shields and methods of use thereof
US20100140222A1 (en) * 2008-12-10 2010-06-10 Sun Jennifer Y Filled polymer composition for etch chamber component
US20130115418A1 (en) * 2011-11-03 2013-05-09 Coorstek, Inc. Multilayer rare-earth oxide coatings and methods of making
RU2515600C2 (ru) * 2011-11-29 2014-05-20 Федеральное государственное бюджетное образовательное учреждение высшего профессионального образования "Воронежский государственный технический университет" Способ получения наноструктурного покрытия
CN102522306A (zh) * 2011-12-29 2012-06-27 中微半导体设备(上海)有限公司 喷淋头
US20130277203A1 (en) * 2012-04-24 2013-10-24 Applied Materials, Inc. Process kit shield and physical vapor deposition chamber having same
US20140097752A1 (en) * 2012-10-09 2014-04-10 Varian Semiconductor Equipment Associates, Inc. Inductively Coupled Plasma ION Source Chamber with Dopant Material Shield
CN103794460B (zh) * 2012-10-29 2016-12-21 中微半导体设备(上海)有限公司 用于半导体装置性能改善的涂层
KR101486057B1 (ko) * 2013-05-31 2015-01-23 주)에코텍코리아 산화이트륨과 질화붕소를 이용한 반도체 cvd 공정용 제품의 제조방법
CN104347389B (zh) * 2013-07-23 2017-07-21 中微半导体设备(上海)有限公司 等离子体刻蚀方法
US11015244B2 (en) * 2013-12-30 2021-05-25 Advanced Material Solutions, Llc Radiation shielding for a CVD reactor
KR101790394B1 (ko) 2014-06-11 2017-10-26 (주)코미코 박막 증착 장치용 내부재 및 이의 제조 방법
CN110189988B (zh) * 2014-06-11 2023-10-03 高美科株式会社 用于薄膜沉积设备的内部材料及其制造方法
CN105304519A (zh) * 2014-07-11 2016-02-03 北京北方微电子基地设备工艺研究中心有限责任公司 内衬、内衬的制备方法及反应腔室
KR20170006807A (ko) 2015-07-09 2017-01-18 (주)티티에스 공정 챔버의 부품파트 및 화학기상증착에 의해 이트리아를 부품파트에 증착하는 방법
JP2019503077A (ja) * 2016-01-07 2019-01-31 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 遠隔プラズマ源及びdc電極を伴う原子層エッチングシステム
WO2017155671A1 (en) 2016-03-11 2017-09-14 Applied Materials, Inc. Aluminum electroplating and oxide formation as barrier layer for aluminum semiconductor process equipment
KR102210971B1 (ko) * 2016-03-11 2021-02-01 어플라이드 머티어리얼스, 인코포레이티드 반도체 프로세싱 장비 상에 이트륨 산화물을 형성하기 위한 방법
US20180005867A1 (en) * 2016-07-01 2018-01-04 Lam Research Corporation Esc ceramic sidewall modification for particle and metals performance enhancements
US20180016678A1 (en) 2016-07-15 2018-01-18 Applied Materials, Inc. Multi-layer coating with diffusion barrier layer and erosion resistant layer
US10407789B2 (en) 2016-12-08 2019-09-10 Applied Materials, Inc. Uniform crack-free aluminum deposition by two step aluminum electroplating process
US11261533B2 (en) 2017-02-10 2022-03-01 Applied Materials, Inc. Aluminum plating at low temperature with high efficiency
JP7065599B2 (ja) * 2017-12-28 2022-05-12 株式会社トクヤマ パイロリティック窒化ホウ素及びパイロリティック窒化ホウ素の製造方法、並びにパイロリティック窒化ホウ素を用いた結晶成長装置
CN108374160B (zh) * 2018-03-08 2019-10-22 南京元汀环境科技有限公司 一种钛基掺硼金刚石涂层的制备方法
CN110453195B (zh) * 2018-05-07 2021-09-28 中国科学院宁波材料技术与工程研究所 用于金属表面腐蚀防护的氮化硼复合薄膜、其制法与应用
US10923327B2 (en) * 2018-08-01 2021-02-16 Applied Materials, Inc. Chamber liner
CN111632205B (zh) * 2020-06-24 2023-04-14 北京华钽生物科技开发有限公司 一种应用于植入医疗器械的医用涂层及其制备方法
WO2022040233A1 (en) * 2020-08-21 2022-02-24 Lam Research Corporation Erosion resistant plasma processing chamber components
KR20240068730A (ko) * 2021-09-30 2024-05-17 엔테그리스, 아이엔씨. 코팅된 표면을 갖는 적층 제조된 물품 및 관련 방법

Family Cites Families (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4340462A (en) 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
US4397724A (en) 1981-08-24 1983-08-09 Bell Telephone Laboratories, Incorporated Apparatus and method for plasma-assisted etching of wafers
FR2538987A1 (fr) 1983-01-05 1984-07-06 Commissariat Energie Atomique Enceinte pour le traitement et notamment la gravure de substrats par la methode du plasma reactif
US4534816A (en) 1984-06-22 1985-08-13 International Business Machines Corporation Single wafer plasma etch reactor
US4612077A (en) 1985-07-29 1986-09-16 The Perkin-Elmer Corporation Electrode for plasma etching system
JPS62103379A (ja) 1985-10-29 1987-05-13 Showa Alum Corp Cvd装置およびドライ・エツチング装置における真空チヤンバの製造方法
JPS6362858A (ja) * 1986-09-01 1988-03-19 Toyota Motor Corp セラミツク溶射層の形成方法
JPH0741153Y2 (ja) 1987-10-26 1995-09-20 東京応化工業株式会社 試料処理用電極
US5024716A (en) 1988-01-20 1991-06-18 Canon Kabushiki Kaisha Plasma processing apparatus for etching, ashing and film-formation
JPH01275779A (ja) 1988-04-28 1989-11-06 Tanaka Kikinzoku Kogyo Kk クラッド容器
US5262029A (en) 1988-05-23 1993-11-16 Lam Research Method and system for clamping semiconductor wafers
FR2662704B2 (fr) 1989-06-08 1992-08-14 Inst Francais Du Petrole Utilisation d'alliages a base de nickel dans un procede de craquage thermique d'une charge petroliere et reacteur pour la mise en óoeuvre du procede.
US4948458A (en) 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
FR2662701B1 (fr) 1990-05-31 1997-07-18 Oreal Composition tinctoriale a base de 5,6-dihydroxyindolines et procede de teinture des fibres keratiniques.
US5192849A (en) 1990-08-10 1993-03-09 Texas Instruments Incorporated Multipurpose low-thermal-mass chuck for semiconductor processing equipment
US5074456A (en) 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
US5268217A (en) 1990-09-27 1993-12-07 Diamonex, Incorporated Abrasion wear resistant coated substrate product
US5200232A (en) 1990-12-11 1993-04-06 Lam Research Corporation Reaction chamber design and method to minimize particle generation in chemical vapor deposition reactors
US5306895A (en) 1991-03-26 1994-04-26 Ngk Insulators, Ltd. Corrosion-resistant member for chemical apparatus using halogen series corrosive gas
US5273588A (en) 1992-06-15 1993-12-28 Materials Research Corporation Semiconductor wafer processing CVD reactor apparatus comprising contoured electrode gas directing means
US5366585A (en) 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
US5364522A (en) * 1993-03-22 1994-11-15 Liang Wang Boride, carbide, nitride, oxynitride, and silicide infiltrated electrochemical ceramic films and coatings and the method of forming such
US5891253A (en) 1993-05-14 1999-04-06 Applied Materials, Inc. Corrosion resistant apparatus
US5522932A (en) 1993-05-14 1996-06-04 Applied Materials, Inc. Corrosion-resistant apparatus
JPH07153370A (ja) * 1993-11-30 1995-06-16 Kyocera Corp 放電管
US5822171A (en) 1994-02-22 1998-10-13 Applied Materials, Inc. Electrostatic chuck with improved erosion resistance
JP3308091B2 (ja) 1994-02-03 2002-07-29 東京エレクトロン株式会社 表面処理方法およびプラズマ処理装置
US5798016A (en) 1994-03-08 1998-08-25 International Business Machines Corporation Apparatus for hot wall reactive ion etching using a dielectric or metallic liner with temperature control to achieve process stability
US5680013A (en) 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US5895586A (en) 1994-05-17 1999-04-20 Hitachi, Ltd. Plasma processing apparatus and plasma processing method in which a part of the processing chamber is formed using a pre-fluorinated material of aluminum
US5641375A (en) 1994-08-15 1997-06-24 Applied Materials, Inc. Plasma etching reactor with surface protection means against erosion of walls
JPH0892747A (ja) * 1994-09-22 1996-04-09 Sekisui Chem Co Ltd 基板の表面処理方法
US5885356A (en) 1994-11-30 1999-03-23 Applied Materials, Inc. Method of reducing residue accumulation in CVD chamber using ceramic lining
US5569356A (en) 1995-05-19 1996-10-29 Lam Research Corporation Electrode clamping assembly and method for assembly and use thereof
JP3164200B2 (ja) * 1995-06-15 2001-05-08 住友金属工業株式会社 マイクロ波プラズマ処理装置
US5824605A (en) 1995-07-31 1998-10-20 Lam Research Corporation Gas dispersion window for plasma apparatus and method of use thereof
US5686796A (en) 1995-12-20 1997-11-11 International Business Machines Corporation Ion implantation helicon plasma source with magnetic dipoles
US5838529A (en) 1995-12-22 1998-11-17 Lam Research Corporation Low voltage electrostatic clamp for substrates such as dielectric substrates
JPH09272965A (ja) * 1996-04-09 1997-10-21 Toshiba Corp 真空成膜装置用部品とそれを用いた真空成膜装置、およびターゲット、バッキングプレート
JP3360265B2 (ja) 1996-04-26 2002-12-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US5863376A (en) 1996-06-05 1999-01-26 Lam Research Corporation Temperature controlling method and apparatus for a plasma processing chamber
US6048798A (en) 1996-06-05 2000-04-11 Lam Research Corporation Apparatus for reducing process drift in inductive coupled plasma etching such as oxide layer
US5820723A (en) 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5904778A (en) 1996-07-26 1999-05-18 Applied Materials, Inc. Silicon carbide composite article particularly useful for plasma reactors
US6120640A (en) * 1996-12-19 2000-09-19 Applied Materials, Inc. Boron carbide parts and coatings in a plasma reactor
US5879523A (en) 1997-09-29 1999-03-09 Applied Materials, Inc. Ceramic coated metallic insulator particularly useful in a plasma sputter reactor
US6379575B1 (en) * 1997-10-21 2002-04-30 Applied Materials, Inc. Treatment of etching chambers using activated cleaning gas
EP0963962A4 (en) * 1997-10-23 2001-05-30 Nippon Tungsten CERAMIC AGGLOMERATE BASED ON ALUMINA AND PROCESS FOR PRODUCING SAID AGGLOMERATOR
US6129808A (en) 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
JPH11340144A (ja) * 1998-05-22 1999-12-10 Hitachi Ltd 半導体装置の製造方法
US6123791A (en) * 1998-07-29 2000-09-26 Applied Materials, Inc. Ceramic composition for an apparatus and method for processing a substrate
JP2000096240A (ja) * 1998-09-25 2000-04-04 Neos Co Ltd プラズマ化学蒸着用有孔電極板の処理方法
JP3046288B1 (ja) * 1998-12-28 2000-05-29 京セラ株式会社 半導体・液晶製造装置用部材
JP2002249864A (ja) * 2000-04-18 2002-09-06 Ngk Insulators Ltd 耐ハロゲンガスプラズマ用部材およびその製造方法
US6537429B2 (en) * 2000-12-29 2003-03-25 Lam Research Corporation Diamond coatings on reactor wall and method of manufacturing thereof
US6620520B2 (en) * 2000-12-29 2003-09-16 Lam Research Corporation Zirconia toughened ceramic components and coatings in semiconductor processing equipment and method of manufacture thereof
US6533910B2 (en) * 2000-12-29 2003-03-18 Lam Research Corporation Carbonitride coated component of semiconductor processing equipment and method of manufacturing thereof
US6613442B2 (en) * 2000-12-29 2003-09-02 Lam Research Corporation Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI465155B (zh) * 2005-07-14 2014-12-11 Univ Tohoku 半導體製造裝置用構件及其洗淨方法
TWI514464B (zh) * 2012-04-25 2015-12-21

Also Published As

Publication number Publication date
WO2002053799A1 (en) 2002-07-11
US6613442B2 (en) 2003-09-02
JP2004523649A (ja) 2004-08-05
US20020086554A1 (en) 2002-07-04
JP4634005B2 (ja) 2011-02-16
US6773751B2 (en) 2004-08-10
KR100830068B1 (ko) 2008-05-16
US20040137147A1 (en) 2004-07-15
EP1364075A1 (en) 2003-11-26
CN1484712B (zh) 2010-04-21
KR20030066756A (ko) 2003-08-09
CN1484712A (zh) 2004-03-24

Similar Documents

Publication Publication Date Title
TW533494B (en) Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof
TW514989B (en) Carbonitride coated component of semiconductor processing equipment and method of manufacture thereof
US6537429B2 (en) Diamond coatings on reactor wall and method of manufacturing thereof
US6790242B2 (en) Fullerene coated component of semiconductor processing equipment and method of manufacturing thereof
US6444083B1 (en) Corrosion resistant component of semiconductor processing equipment and method of manufacturing thereof
TW548737B (en) Zirconia toughened ceramic components and coatings in semiconductor processing equipment and method of manufacture thereof

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees