CN100357493C - 半导体加工设备的防腐组件及其制造方法 - Google Patents

半导体加工设备的防腐组件及其制造方法 Download PDF

Info

Publication number
CN100357493C
CN100357493C CNB008095914A CN00809591A CN100357493C CN 100357493 C CN100357493 C CN 100357493C CN B008095914 A CNB008095914 A CN B008095914A CN 00809591 A CN00809591 A CN 00809591A CN 100357493 C CN100357493 C CN 100357493C
Authority
CN
China
Prior art keywords
plated layer
nickel coating
coating
assembly
phosphorus nickel
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
CNB008095914A
Other languages
English (en)
Other versions
CN1358238A (zh
Inventor
R·J·斯泰戈
C·张
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN1358238A publication Critical patent/CN1358238A/zh
Application granted granted Critical
Publication of CN100357493C publication Critical patent/CN100357493C/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/30Coatings combining at least one metallic layer and at least one inorganic non-metallic layer
    • C23C28/32Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one pure metallic layer
    • C23C28/321Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one pure metallic layer with at least one metal alloy layer
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/31Coating with metals
    • C23C18/32Coating with nickel, cobalt or mixtures thereof with phosphorus or boron
    • C23C18/34Coating with nickel, cobalt or mixtures thereof with phosphorus or boron using reducing agents
    • C23C18/36Coating with nickel, cobalt or mixtures thereof with phosphorus or boron using reducing agents using hypophosphites
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/30Coatings combining at least one metallic layer and at least one inorganic non-metallic layer
    • C23C28/34Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one inorganic non-metallic material layer, e.g. metal carbide, nitride, boride, silicide layer and their mixtures, enamels, phosphates and sulphates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/30Coatings combining at least one metallic layer and at least one inorganic non-metallic layer
    • C23C28/34Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one inorganic non-metallic material layer, e.g. metal carbide, nitride, boride, silicide layer and their mixtures, enamels, phosphates and sulphates
    • C23C28/345Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one inorganic non-metallic material layer, e.g. metal carbide, nitride, boride, silicide layer and their mixtures, enamels, phosphates and sulphates with at least one oxide layer

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Coating By Spraying Or Casting (AREA)
  • Chemically Coating (AREA)
  • Other Surface Treatments For Metallic Materials (AREA)

Abstract

半导体加工设备的防腐组件如等离子体腔室,其包括如铝或铝合金、不锈钢或耐火金属的金属表面,该金属表面上镀有磷镍镀层和如氧化铝、碳化硅、氮化硅、碳化硼或氮化铝的外陶瓷镀层。该磷镍镀层可通过化学镀被沉积,而陶瓷镀层可通过热喷镀沉积。为了增加陶瓷镀层的粘附力,在沉积陶瓷镀层前,可先对磷镍镀层进行糙化处理。

Description

半导体加工设备的防腐组件及其制造方法
                       发明背景
                       发明领域
本发明涉及半导体加工设备和提高其组件防腐性能的方法。
                     相关技术描述
在半导体加工领域,真空加工腔室常被用于蚀刻和在基片上化学气相沉积(CVD)物质,该化学气相沉积物质是通过向真空腔室内充入蚀刻或沉积气体,并向该气体施加RF场以使其激化到等离子态而进行的。在美国专利4,340,462;4,948,458;5,200,232和5,820,723中公开了平行板、变压器偶合等离子体(TCPTM)(又称为感应耦合等离子体(ICP))和电子回旋共振(ECR)反应器及其组件的例子。由于这些反应器中的等离子体环境的腐蚀性和对最小的微粒和/或重金属污染的要求,所以就非常需要该设备的组件具有良好的防腐性能。
在半导体基片的加工中,一般用如机械夹具或静电夹具(ESC)的基片夹具将基片固定在真空腔室中。这些夹具系统和其组件的实例在美国专利5,262,029和5,838,529中可以看到。工作气体可以通过如气嘴、气环、气体分配盘等不同方式被输入到所述腔室中。例如在美国专利5,863,376中,可发现感应偶合等离子体反应器及其组件用的是温控气体分配盘。除等离子体腔室设备外,其它用于加工半导体基片的设备包括传输机构、供气系统、衬套、起落机构、装料控制、门机构、机械手、固定装置等。这种设备的组件处于与半导体加工相关的不同腐蚀性条件下。而且如硅晶片的半导体基片的加工和如用于平板显示器的玻璃基片的介电材料的加工都要求很高的纯度,在这样的环境中就非常需要组件具有改进的防腐性能。
铝和铝合金通常被有于等离子体反应器的器壁、电极、基座、固定装置和其它组件。为了防止这种金属组件的腐蚀,人们应用了各种技术在铝表面涂覆了各种涂层。如美国专利5,641,375中公开了将铝质室壁阳极化,以降低该壁的等离子体腐蚀和磨损。’375专利指出阳极化层最后被溅射或腐蚀掉了,以至于不得不更换所述腔室。美国专利5,895,586描述了一种记载在日本申请未审公开62-103379中的在铝材上形成Al2O3、AlC、TiN、TiC、AlN等防腐膜的技术。
美国专利5,680,013提到在美国专利4,491,496中公开了一种在蚀刻室的金属表面用火焰喷镀Al2O3的技术。’013专利指出铝和陶瓷镀层(如氧化铝)之间热膨胀系数的不同导致了镀层在腐蚀性环境中由于热周期性变化而破坏和最后失效。为了保护腔室壁,美国专利5,366,585;5,798,016和5,885,356中提出了衬套装置。如’016专利公开了陶瓷、铝、钢和/或石英衬套,其中优选铝,因为铝的机械加工性能好且具有氧化铝、Sc2O3或Y2O3覆盖层,其中优选氧化铝来覆盖铝,以保护铝不受等离子体的影响。’585专利公开了一种自立式陶瓷衬套,其厚度至少0.005英寸,由固体氧化铝加工而成。’585专利还提到陶瓷层的使用,该陶瓷层是通过火焰喷镀或等离子喷镀氧化铝而沉积的,这样不会消耗位于下面的铝。’365专利公开了一种氧化铝的陶瓷衬套和一种用于保护晶片座的氮化铝陶瓷护罩。美国专利5,885,356公开了可用于CVD室的陶瓷衬套材料。
各种各样的镀层已被用于半导体加工设备的金属组件。例如,美国专利5,879,523公开了一种溅射室,其中在金属如不锈钢、铝上热喷镀了一层氧化铝,在其间具有任选的NiAlx结合镀层。美国专利5,522,932和5,891,53公开了一种用于基片的等离子加工装置的金属组件的铑镀层,在其间具有任选的镍镀层。美国专利5,680,013公开了用于等离子体加工室中的金属表面的非连接型陶瓷保护,优选的陶瓷材料是经烧结的AlN,次优选的材料包括氧化铝、氟化镁和氧化镁。美国专利5,904,778公开了在自立式SiC上的SiC CVD镀层,其可以用作室壁、室顶或环绕着晶片的环圈。
至于等离子体反应器组件如喷头气体分配系统,对于喷头的材料已经有了很多提议。如在美国专利5,569,356中公开了硅、石墨或碳化硅的喷头。美国专利5,494,713公开了在铝电极上形成耐酸铝膜,并在该耐酸铝膜上再镀一层硅膜如氧化硅或氮化硅。’713专利中提到硅镀膜的厚度应等于或小于10微米,优选约5微米,因为铝镀膜、耐酸铝镀膜和硅镀膜的线膨胀系数不同,当硅镀膜太厚时很易发生裂缝。但厚度小于5微米时不能对铝基片提供充分的保护,所以也不适宜。美国专利4,534,516公开了一种不锈钢、铝、铜等的顶置喷头电极。美国专利4,612,077公开了一种镁喷头电极。美国专利5,888,907公开了无定形碳、SiC或Al的喷头电极。美国专利5,006,220和5,022,979公开了一种喷头电极,其或全部用SiC制造,或是在碳基片上通过CVD沉积覆盖上SiC,以提供高纯度碳化硅表面层。
考虑到半导体加工设备组件对高纯度和防腐性能的要求,本技术领域内有一种改进用于这些组件的材料和/或镀层的需要。此外,对于所述腔室的材料而言,任何能提高等离子体反应器腔室寿命并因此减少设备停机的材料,都将有利于降低半导体晶片的加工费用。
                      本发明概述
本发明的第一个方面是提供了一种在半导体加工设备组件金属表面施加防腐性镀层的方法。该方法包括:(a)在组件的金属表面沉积磷镍(phosphorus nickel)镀层;和(b)在该磷镍镀层上再沉积陶瓷镀层,以形成防腐性的外表面。所述金属表面可以是经阳极化处理或未经处理过的铝、不锈钢、耐火金属(如钼)或其它用于等离子腔室的金属或合金。所述陶瓷镀层可以是氧化铝、SiC、AlN、Si3N4、BC或其它等离子体相容的陶瓷材料。
本发明的第二个方面是提供了一种金属组件。该组件包括:(a)金属表面;(b)金属表面上的磷镍镀层;(c)该磷镍镀层上的陶瓷镀层,其中氧化铝镀层构成了最外面的防腐蚀性表面。
                       附图说明
通过以下结合附图对优选实施方案的详细描述,将使本发明的目的和优点变得明显,其中:
图1是等离子体反应器腔室的剖面示意图,该腔室具有一个镀有本发明的防腐性镀层的组件。
图2是图1中A部分的防腐性镀层的详图。
          本发明优选实施方案的详细描述
本发明为半导体加工设备组件(如等离子体加工反应器腔室的部件)的金属表面提供了一种有效的防腐途径。这些组件包括室壁、基片支承、气体分配系统(包括喷头、阻气板、环气嘴等)、固定器、加热元件、等离子体隔板、衬套、传送模件组件(如机械手、紧固器、内外室壁等)等等。
尽管本发明适用于任何类型的具有金属表面的组件,但为了解释方便,本发明将参照美国专利5,820,723中所述的设备进行详细描述,该文献在此全文引作参考。
图1所示的真空加工反应器腔室10包括基片座70,它能给基片60提供一个静电夹持力,也能在基片被氦后冷却时对其提供RF偏移,限制环72能把等离子体局限在基片的上方。在反应器腔室10的顶部有一个为保持该腔室内高等离子体密度(如1011-1012个离子/cm3)的能源如天线40,其由适当的RF源驱动,以提供高密度的等离子体。该腔室包括真空泵装置,其通过腔室底中部的真空口20对该腔室抽真空,以使腔室内部30保持所需的压力(如低于50毫托,一般为1-20毫托)。
在天线40和加工腔室10的内部之间有一个厚度均一的基本上平的绝缘窗口50,它构成了加工腔室10顶部的真空壁。气体分配盘52位于窗口50之下,且其包括有如环形洞的开口,以把工作气体从供气装置输入到腔室10中。从气体分配盘延伸出一个圆锥形的衬套54,且该衬套包围着基片座70。
工作时,被加工的半导体基片如硅晶片60被固定在基片座70上,当进行氦后冷却时,一般用静电夹具74将基片固定。然后工作气体通过窗口50和气体分配盘52之间的空隙进入真空加工腔室10。在申请号为08/509,080;08/658,258和08/658,259的美国专利中公开了适合的气体分配盘的排列(如喷头),这些文献的内容在此引作参考。例如,尽管图1中窗口和气体分配盘排列是平面的且厚度均一,但非平面和/或非均匀厚度的几何形状也能用于窗口和/或气体分配盘。通过向天线40提供合适的RF动力就能在窗口和基片之间的空隙中点燃高密度的等离子体。
暴露在等离子体中且有腐蚀现象发生的如经或未经阳极化处理的铝质壁的腔室壁28和如基片座70、固定器56、衬套54等的金属组件都是施加本发明防腐性镀层的对象,因此在等离子体腔室的操作中就不必将它们都罩起来。可被镀涂的金属和/或合金包括经或未经阳极化处理的铝及其合金、不锈钢、耐火金属(如钨、钼)及其合金、铜及其合金等等。在优选的实施方案中,被镀涂的组件是腔室壁28,它具有经或未经阳极化处理的铝表面29。本发明的镀层允许使用铝合金,而对铝合金的组成(这样除高纯度的铝外,就可以用更经济的铝合金)、颗粒结构或表面条件没有特殊要求。在下面的讨论中,如图2所示的被镀涂的组件的例子是铝质的腔室壁28,其具有磷镍镀层80和陶瓷镀层90。
根据本发明,在铝质侧壁28上镀磷镍层80可以用常规的技术来完成,包括如化学镀、电镀、喷镀、浸镀或化学气相沉积。化学镀是优选的提供P-Ni镀层的方法,其允许在没有电流的情况下对腔室复杂的内表面或其它腔室组件如气体供给组件中的气体通道等进行镀涂。在美国专利4,636,255中公开了一种化学镀P-Ni合金的技术,其内容在此引作参考。在美国金属协会1989年出版,H.Boyer和T.Gall编辑的《金属手册》(Metals Hahdbook)(第五版)中也公开了常规的化学镀方法。
为了保证被镀材料的良好粘附性,优选在镀前对铝基片28进行彻底清洗,以除去如氧化物和油酯等的表面物质。优选的镍合金包括约9-12wt%的磷,更优选为约10-12wt%。
所述P-Ni镀层80足够厚,以使其附着在基片上,且又能使其在于该镍表面上形成如氧化铝、SiC、Si3N4、BC、AlN等陶瓷镀层之前被加工。该P-Ni镀层80可具有任何合适的厚度,如至少约0.002英寸、优选0.002-0.010英寸、更优选0.002-0.004英寸的厚度。
在于铝基片28上沉积P-Ni镀层80后,可以用任何适当的技术使该镀层喷砂或糙化,然后再镀陶瓷材料。该陶瓷材料优选被热喷镀到磷镍镀层80上。这样就为经糙化的层80与熔融的陶瓷颗粒间提供了特别好的结合。当陶瓷镀层冷却时,会给镀层80一个高的机械压力且使在镀层90中形成的裂纹减到最少。陶瓷镀层90可包括任何所需的陶瓷材料或材料的组合,如Al2O3、SiC、Si3N4、BC、AlN、TiO2等。
可以用如化学气相沉积或RF溅镀的任何沉积技术来镀涂陶瓷镀层。优选的镀涂方法是通过热喷镀,在热喷镀中陶瓷粉末被熔融且在气流的带动下结合到被喷镀的组件上。热喷镀技术的优点是金属体只有面对热喷枪的侧面被镀,而其它地方可以用遮蔽物保护起来。常用的热喷镀技术包括等离子体喷镀,其在Pawlowski的《热喷镀科学与工程》(The Science and Engineering of Thermal SprayCoating)(John Wiley,1995)中都有记载。
在优选的实施方案中,陶瓷层90是在P-Ni层80上等离子体喷镀氧化铝至合适的厚度而被沉积上的,该厚度如为约0.005-0.040英寸,优选为0.010-0.015英寸,选择该氧化铝层的厚度,以与反应器中将要遇到的等离子体环境(如蚀刻、CVD等)相容。该氧化铝层90可被镀涂在如上所述的整个或部分反应器腔室和组件上。优选将其镀涂在可能或不可能暴露于等离子体环境的区域上,如直接与等离子体接触的部分或位于腔室组件(如衬套等)后面的部分,以防止镍和/或铝污染在反应器腔室中加工的半导体基片。因此根据本发明的一个优点,通过抑制由于腐蚀产生的粉尘,可使沉积膜中的令人不满意的蚀刻或不希望的针孔得到减少。
以上参照具体实施方案对本发明进行了详细描述。显然对于熟悉此领域的人来说,在不脱离所附权利要求的范围内,可以进行各种变化和改进,或采用等效的结构。

Claims (11)

1.一种对半导体加工设备组件的金属表面进行镀涂的方法,该方法包括:
(a)在半导体加工设备组件的金属表面上沉积磷镍镀层;
(b)在所述磷镍镀层上沉积陶瓷镀层,其中所述陶瓷镀层构成最外层表面;
并且其中:
(i)所述组件是等离子体腔室侧壁,所述磷镍镀层被沉积在所述侧壁暴露的内表面上;
(ii)所述金属表面是经过阳极化处理或未经阳极化处理过的铝或铝合金;
(iii)所述陶瓷镀层包括Al2O3、SiC、Si3N4、BC或AlN;
并且所述镀涂方法进一步包括在沉积所述陶瓷镀层之前,对磷镍镀层进行表面糙化处理,所述陶瓷镀层是通过等离子体喷镀所述陶瓷镀层于所述磷镍镀层上而被沉积在糙化的磷镍镀层上,以便全部或部分覆盖所述磷镍镀层。
2.如权利要求1所述的镀涂方法,其中所述磷镍镀层是通过化学镀而被沉积上的。
3.如权利要求1所述的镀涂方法,其中所述磷镍镀层包括9-12wt%的磷。
4.如权利要求1所述的镀涂方法,其中所述磷镍镀层的厚度为0.002-0.004英寸。
5.如权利要求1所述的镀涂方法,其中所述陶瓷镀层的厚度为0.005-0.040英寸。
6.一种半导体加工设备的组件,其包括:
(a)金属表面;
(b)在所述金属表面上的磷镍镀层;和
(c)在所述磷镍镀层上的陶瓷镀层,其中所述陶瓷镀层构成最外层表面;
并且其中:
(i)所述组件为等离子体腔室侧壁;
(ii)所述金属表面是经过阳极化处理或未经阳极化处理过的铝或铝合金;
(iii)所述陶瓷镀层包括Al2O3、SiC、Si3N4、BC或AlN;所述磷镍镀层包括与陶瓷镀层接触的经糙化的表面,且该陶瓷镀层是热喷镀镀层。
7.如权利要求6所述的组件,其中所述磷镍镀层中含有9-12wt%的磷。
8.如权利要求6所述的组件,其中所述磷镍镀层的厚度为0.002-0.004英寸。
9.如权利要求6所述的组件,其中所述陶瓷镀层是等子体喷镀的氧化铝镀层,其厚度为0.005-0.030英寸。
10.如权利要求6所述的组件,其中所述陶瓷镀层是抗开裂的。
11.一种在包含组件的等离子体腔室中加工半导体基片的方法,该方法包括使半导体基片的暴露表面与等离子体接触;
其中所述组件包括:
(a)金属表面,该金属表面是经过阳极化处理或未经阳极化处理过的铝或铝合金;
(b)在所述金属表面上的磷镍镀层;和
(c)在所述磷镍镀层上的陶瓷镀层,其中所述陶瓷镀层构成最外层表面;
并且其中:
(i)所述组件为等离子体腔室侧壁;和
(ii)所述陶瓷镀层包括在磷镍镀层上的热喷镀的陶瓷镀层,并且该陶瓷镀层包括Al2O3、SiC、Si3N4、BC或AlN,以及所述磷镍镀层包括与陶瓷镀层接触的经糙化的表面。
CNB008095914A 1999-06-30 2000-06-14 半导体加工设备的防腐组件及其制造方法 Expired - Lifetime CN100357493C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/343,692 1999-06-30
US09/343,692 US6444083B1 (en) 1999-06-30 1999-06-30 Corrosion resistant component of semiconductor processing equipment and method of manufacturing thereof

Publications (2)

Publication Number Publication Date
CN1358238A CN1358238A (zh) 2002-07-10
CN100357493C true CN100357493C (zh) 2007-12-26

Family

ID=23347206

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB008095914A Expired - Lifetime CN100357493C (zh) 1999-06-30 2000-06-14 半导体加工设备的防腐组件及其制造方法

Country Status (7)

Country Link
US (1) US6444083B1 (zh)
JP (1) JP4608159B2 (zh)
KR (1) KR100636076B1 (zh)
CN (1) CN100357493C (zh)
AU (1) AU6540700A (zh)
TW (1) TW524885B (zh)
WO (1) WO2001000901A1 (zh)

Families Citing this family (90)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010062209A (ko) 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
TW503449B (en) 2000-04-18 2002-09-21 Ngk Insulators Ltd Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
JP4602532B2 (ja) 2000-11-10 2010-12-22 東京エレクトロン株式会社 プラズマ処理装置
US6805952B2 (en) * 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
JP2002359229A (ja) * 2001-06-01 2002-12-13 Mitsubishi Electric Corp 半導体装置の製造方法および半導体装置の製造装置
US7026009B2 (en) * 2002-03-27 2006-04-11 Applied Materials, Inc. Evaluation of chamber components having textured coatings
US7137353B2 (en) 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US6798519B2 (en) 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7166200B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US7204912B2 (en) 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US7147749B2 (en) 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US6837966B2 (en) * 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US7166166B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US7964085B1 (en) 2002-11-25 2011-06-21 Applied Materials, Inc. Electrochemical removal of tantalum-containing materials
KR100772740B1 (ko) 2002-11-28 2007-11-01 동경 엘렉트론 주식회사 플라즈마 처리 용기 내부재
WO2004095530A2 (en) * 2003-03-31 2004-11-04 Tokyo Electron Limited Adjoining adjacent coatings on an element
JP4532479B2 (ja) 2003-03-31 2010-08-25 東京エレクトロン株式会社 処理部材のためのバリア層およびそれと同じものを形成する方法。
US20060105182A1 (en) * 2004-11-16 2006-05-18 Applied Materials, Inc. Erosion resistant textured chamber surface
JP2005064284A (ja) * 2003-08-14 2005-03-10 Asm Japan Kk 半導体基板保持装置
US7910218B2 (en) * 2003-10-22 2011-03-22 Applied Materials, Inc. Cleaning and refurbishing chamber components having metal coatings
US7662435B2 (en) * 2003-11-12 2010-02-16 Intelligent Energy, Inc. Method for reducing coking in a hydrogen generation reactor chamber
ITVE20040038A1 (it) * 2004-10-21 2005-01-21 Domiziano Mostacci Apparecchiatura per la produzione endogena di radioisotopi, particolarmente per diagnostica tomografica ad emissioni di positroni.
US7579067B2 (en) * 2004-11-24 2009-08-25 Applied Materials, Inc. Process chamber component with layered coating and method
KR100712125B1 (ko) * 2005-01-20 2007-04-27 삼성에스디아이 주식회사 유도결합형 플라즈마 처리장치
JP4475136B2 (ja) * 2005-02-18 2010-06-09 東京エレクトロン株式会社 処理システム、前処理装置及び記憶媒体
US8617672B2 (en) 2005-07-13 2013-12-31 Applied Materials, Inc. Localized surface annealing of components for substrate processing chambers
US7762114B2 (en) 2005-09-09 2010-07-27 Applied Materials, Inc. Flow-formed chamber component having a textured surface
US7612311B2 (en) * 2006-11-17 2009-11-03 Lam Research Corporation Methods and systems for controlling electric heaters
FR2909998B1 (fr) * 2006-12-18 2009-03-06 Snecma Propulsion Solide Sa Piece en materiau composite a matrice ceramique contenant du silicium, protegee contre la corrosion
US7981262B2 (en) 2007-01-29 2011-07-19 Applied Materials, Inc. Process kit for substrate processing chamber
KR101002500B1 (ko) * 2007-02-06 2010-12-17 이비덴 가부시키가이샤 프린트 배선판 및 그 프린트 배선판의 제조 방법
JP2008251765A (ja) * 2007-03-30 2008-10-16 Hitachi High-Technologies Corp プラズマエッチング装置
US7942969B2 (en) 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
KR100820744B1 (ko) * 2007-09-05 2008-04-11 (주)제이스 금속 모재의 텅스텐 코팅방법
US9224582B2 (en) * 2007-11-29 2015-12-29 Applied Materials, Inc. Apparatus and method for depositing electrically conductive pasting material
KR101486553B1 (ko) * 2008-03-20 2015-01-26 주식회사 원익아이피에스 진공처리장치
US8852685B2 (en) * 2010-04-23 2014-10-07 Lam Research Corporation Coating method for gas delivery system
JP5766495B2 (ja) * 2010-05-18 2015-08-19 株式会社日立ハイテクノロジーズ 熱処理装置
JP2011256946A (ja) * 2010-06-09 2011-12-22 Tohoku Univ 減圧処理装置
US20120052216A1 (en) * 2010-08-27 2012-03-01 Applied Materials, Inc. Gas distribution showerhead with high emissivity surface
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
KR101550439B1 (ko) 2014-10-17 2015-09-08 (주)씨엠코리아 반도체 웨이퍼용 세라믹히터 및 그 제조방법
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
CN105986245A (zh) * 2015-02-16 2016-10-05 中微半导体设备(上海)有限公司 改善mocvd反应工艺的部件及改善方法
US20160362782A1 (en) * 2015-06-15 2016-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gas dispenser and deposition apparatus using the same
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10755900B2 (en) 2017-05-10 2020-08-25 Applied Materials, Inc. Multi-layer plasma erosion protection for chamber components
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US20190323127A1 (en) * 2018-04-19 2019-10-24 Applied Materials, Inc. Texturing and plating nickel on aluminum process chamber components
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11859288B2 (en) * 2019-10-07 2024-01-02 Resonac Corporation Corrosion-resistant member

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62170465A (ja) * 1986-01-23 1987-07-27 Yoshikawa Kogyo Co Ltd 銅基合金母材への耐熱溶射皮膜形成方法
GB2212172A (en) * 1987-11-17 1989-07-19 Baj Ltd Wear-resistant coated article
EP0446079A1 (en) * 1990-03-08 1991-09-11 Mitsubishi Aluminum Co.,Ltd. Industrial material with fluorine passivated film and process of manufacturing the same
JPH04161308A (ja) * 1990-10-25 1992-06-04 Mitsubishi Electric Corp モールド用簡易金型およびその製造方法
CN1101383A (zh) * 1993-10-01 1995-04-12 富士电机株式会社 机械部件以及在其上形成涂层的方法
CN1109515A (zh) * 1994-12-30 1995-10-04 邵天敏 一种铝及铝合金表面的涂层制备方法
EP0737759A1 (en) * 1995-04-12 1996-10-16 Seiko Seiki Kabushiki Kaisha Corrosion preventing structure
CN1154644A (zh) * 1995-12-15 1997-07-16 日本电气株式会社 等离子体工艺设备的腔体腐蚀

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4361630A (en) * 1979-04-20 1982-11-30 The United States Of America As Represented By The Secretary Of The Commerce Ultra-black coating due to surface morphology
US4340462A (en) 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
FR2538987A1 (fr) 1983-01-05 1984-07-06 Commissariat Energie Atomique Enceinte pour le traitement et notamment la gravure de substrats par la methode du plasma reactif
US4673468A (en) 1985-05-09 1987-06-16 Burlington Industries, Inc. Commercial nickel phosphorus electroplating
US5262029A (en) 1988-05-23 1993-11-16 Lam Research Method and system for clamping semiconductor wafers
US4948458A (en) 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
CA2035970C (en) * 1990-02-09 1999-06-01 Eiji Hirai Process for surface treating titanium-containing metallic material
US5294462A (en) * 1990-11-08 1994-03-15 Air Products And Chemicals, Inc. Electric arc spray coating with cored wire
US5200232A (en) 1990-12-11 1993-04-06 Lam Research Corporation Reaction chamber design and method to minimize particle generation in chemical vapor deposition reactors
JPH0563063A (ja) * 1991-09-02 1993-03-12 Nikon Corp 静電チヤツク装置
JP3074873B2 (ja) * 1991-11-11 2000-08-07 株式会社神戸製鋼所 真空装置用表面被覆金属材
US5366585A (en) 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
US5522932A (en) 1993-05-14 1996-06-04 Applied Materials, Inc. Corrosion-resistant apparatus
US5798016A (en) 1994-03-08 1998-08-25 International Business Machines Corporation Apparatus for hot wall reactive ion etching using a dielectric or metallic liner with temperature control to achieve process stability
US5680013A (en) 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
JPH07310163A (ja) * 1994-05-16 1995-11-28 Canon Inc セラミック溶射膜およびその形成方法
TW321821B (zh) 1994-05-17 1997-12-01 Hitachi Ltd
US5641375A (en) 1994-08-15 1997-06-24 Applied Materials, Inc. Plasma etching reactor with surface protection means against erosion of walls
JP3581900B2 (ja) * 1994-10-28 2004-10-27 三菱アルミニウム株式会社 フロロカーボン膜が形成された金属材料、その製造方法並びにその材料を用いた装置
JP2943634B2 (ja) * 1994-11-16 1999-08-30 株式会社神戸製鋼所 AlまたはAl合金製真空チャンバ部材の表面処理方法
JP2913537B2 (ja) * 1995-04-12 1999-06-28 セイコー精機株式会社 防食構造
US5938845A (en) * 1995-10-20 1999-08-17 Aiwa Co., Ltd. Uniform heat distribution apparatus and method for electroless nickel plating in fabrication of thin film head gaps
US5838529A (en) 1995-12-22 1998-11-17 Lam Research Corporation Low voltage electrostatic clamp for substrates such as dielectric substrates
US5863376A (en) 1996-06-05 1999-01-26 Lam Research Corporation Temperature controlling method and apparatus for a plasma processing chamber
US5820723A (en) 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5723187A (en) * 1996-06-21 1998-03-03 Ford Global Technologies, Inc. Method of bonding thermally sprayed coating to non-roughened aluminum surfaces
JPH10121257A (ja) * 1996-08-22 1998-05-12 Kobe Steel Ltd 無電解めっき装置及び方法
US6120640A (en) * 1996-12-19 2000-09-19 Applied Materials, Inc. Boron carbide parts and coatings in a plasma reactor
JPH10226869A (ja) * 1997-02-17 1998-08-25 Mitsui Eng & Shipbuild Co Ltd プラズマ溶射法
US5879523A (en) 1997-09-29 1999-03-09 Applied Materials, Inc. Ceramic coated metallic insulator particularly useful in a plasma sputter reactor

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62170465A (ja) * 1986-01-23 1987-07-27 Yoshikawa Kogyo Co Ltd 銅基合金母材への耐熱溶射皮膜形成方法
GB2212172A (en) * 1987-11-17 1989-07-19 Baj Ltd Wear-resistant coated article
EP0446079A1 (en) * 1990-03-08 1991-09-11 Mitsubishi Aluminum Co.,Ltd. Industrial material with fluorine passivated film and process of manufacturing the same
JPH04161308A (ja) * 1990-10-25 1992-06-04 Mitsubishi Electric Corp モールド用簡易金型およびその製造方法
CN1101383A (zh) * 1993-10-01 1995-04-12 富士电机株式会社 机械部件以及在其上形成涂层的方法
CN1109515A (zh) * 1994-12-30 1995-10-04 邵天敏 一种铝及铝合金表面的涂层制备方法
EP0737759A1 (en) * 1995-04-12 1996-10-16 Seiko Seiki Kabushiki Kaisha Corrosion preventing structure
CN1154644A (zh) * 1995-12-15 1997-07-16 日本电气株式会社 等离子体工艺设备的腔体腐蚀

Also Published As

Publication number Publication date
JP4608159B2 (ja) 2011-01-05
TW524885B (en) 2003-03-21
JP2003503597A (ja) 2003-01-28
WO2001000901A9 (en) 2002-12-27
CN1358238A (zh) 2002-07-10
AU6540700A (en) 2001-01-31
KR20020027373A (ko) 2002-04-13
US6444083B1 (en) 2002-09-03
WO2001000901A1 (en) 2001-01-04
KR100636076B1 (ko) 2006-10-18

Similar Documents

Publication Publication Date Title
CN100357493C (zh) 半导体加工设备的防腐组件及其制造方法
EP1356501B1 (en) Zirconia toughened ceramic components and coatings in semiconductor processing equipment and method of manufacture thereof
US6773751B2 (en) Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof
EP1358363B1 (en) Diamond coatings on reactor wall and method of manufacturing thereof
KR100882758B1 (ko) 반도체 공정 설비내의 세륨 옥사이드 함유 세라믹 부품 및 코팅
US6533910B2 (en) Carbonitride coated component of semiconductor processing equipment and method of manufacturing thereof

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CX01 Expiry of patent term

Granted publication date: 20071226

CX01 Expiry of patent term