CN1350668A - 带有实时流量测量和修正的宽范围气流系统 - Google Patents

带有实时流量测量和修正的宽范围气流系统 Download PDF

Info

Publication number
CN1350668A
CN1350668A CN99816672A CN99816672A CN1350668A CN 1350668 A CN1350668 A CN 1350668A CN 99816672 A CN99816672 A CN 99816672A CN 99816672 A CN99816672 A CN 99816672A CN 1350668 A CN1350668 A CN 1350668A
Authority
CN
China
Prior art keywords
flow
sensor
pressure
measures
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN99816672A
Other languages
English (en)
Inventor
蒂莫西·R·布朗
丹尼尔·R·琼帝
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Cyber Instrument Tech LLC
Original Assignee
Cyber Instrument Tech LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=23239950&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=CN1350668(A) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Cyber Instrument Tech LLC filed Critical Cyber Instrument Tech LLC
Publication of CN1350668A publication Critical patent/CN1350668A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05DSYSTEMS FOR CONTROLLING OR REGULATING NON-ELECTRIC VARIABLES
    • G05D7/00Control of flow
    • G05D7/06Control of flow characterised by the use of electric means
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05DSYSTEMS FOR CONTROLLING OR REGULATING NON-ELECTRIC VARIABLES
    • G05D7/00Control of flow
    • G05D7/06Control of flow characterised by the use of electric means
    • G05D7/0617Control of flow characterised by the use of electric means specially adapted for fluid materials
    • G05D7/0629Control of flow characterised by the use of electric means specially adapted for fluid materials characterised by the type of regulator means
    • G05D7/0635Control of flow characterised by the use of electric means specially adapted for fluid materials characterised by the type of regulator means by action on throttling means
    • G05D7/0641Control of flow characterised by the use of electric means specially adapted for fluid materials characterised by the type of regulator means by action on throttling means using a plurality of throttling means
    • G05D7/0658Control of flow characterised by the use of electric means specially adapted for fluid materials characterised by the type of regulator means by action on throttling means using a plurality of throttling means the plurality of throttling means being arranged for the control of a single flow from a plurality of converging flows
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01FMEASURING VOLUME, VOLUME FLOW, MASS FLOW OR LIQUID LEVEL; METERING BY VOLUME
    • G01F1/00Measuring the volume flow or mass flow of fluid or fluent solid material wherein the fluid passes through a meter in a continuous flow
    • G01F1/05Measuring the volume flow or mass flow of fluid or fluent solid material wherein the fluid passes through a meter in a continuous flow by using mechanical effects
    • G01F1/34Measuring the volume flow or mass flow of fluid or fluent solid material wherein the fluid passes through a meter in a continuous flow by using mechanical effects by measuring pressure or differential pressure
    • G01F1/50Correcting or compensating means
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01FMEASURING VOLUME, VOLUME FLOW, MASS FLOW OR LIQUID LEVEL; METERING BY VOLUME
    • G01F1/00Measuring the volume flow or mass flow of fluid or fluent solid material wherein the fluid passes through a meter in a continuous flow
    • G01F1/76Devices for measuring mass flow of a fluid or a fluent solid material
    • G01F1/86Indirect mass flowmeters, e.g. measuring volume flow and density, temperature or pressure
    • G01F1/88Indirect mass flowmeters, e.g. measuring volume flow and density, temperature or pressure with differential-pressure measurement to determine the volume flow
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01FMEASURING VOLUME, VOLUME FLOW, MASS FLOW OR LIQUID LEVEL; METERING BY VOLUME
    • G01F15/00Details of, or accessories for, apparatus of groups G01F1/00 - G01F13/00 insofar as such details or appliances are not adapted to particular types of such apparatus
    • G01F15/02Compensating or correcting for variations in pressure, density or temperature
    • G01F15/04Compensating or correcting for variations in pressure, density or temperature of gases to be measured
    • G01F15/043Compensating or correcting for variations in pressure, density or temperature of gases to be measured using electrical means
    • G01F15/046Compensating or correcting for variations in pressure, density or temperature of gases to be measured using electrical means involving digital counting
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/0318Processes
    • Y10T137/0396Involving pressure control
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/7722Line condition change responsive valves
    • Y10T137/7758Pilot or servo controlled
    • Y10T137/7759Responsive to change in rate of fluid flow
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/7722Line condition change responsive valves
    • Y10T137/7758Pilot or servo controlled
    • Y10T137/7761Electrically actuated valve

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Fluid Mechanics (AREA)
  • Engineering & Computer Science (AREA)
  • Automation & Control Theory (AREA)
  • Measuring Volume Flow (AREA)
  • Flow Control (AREA)
  • Details Of Flowmeters (AREA)

Abstract

本发明公开了一种实时精确测量和选择性调节质量流量的气体传输系统。该系统包括顺序连接的与一个进口阀相连的流体管道、校准容积、节流器和出口阀;所述的校准容积还连接有压力和温度传感器;一个压差传感器,或者一对压差传感器,绝对或者标准压力传感器横跨设置于节流器;其中绝对压力传感器可设于节流器的上游。

Description

带有实时流量测量和修正的宽范围气流系统
技术领域
本发明涉及需要传输高精确量的气体至一个处理室的制造过程。更具体地说,本发明涉及一种在传输气体至一个处理室时准确测量气体流量的改进的气流系统。根据这些测量,可能要执行一些附加的操作来控制流量。
背景技术
很多工业过程,例如半导体制造,依赖于气体被准确的传输到处理室中,处理室也被称为“反应容器”。这些室在各种压力下工作,压力范围处于某些情况下很高到另一些情况下很低之间。气体传输系统的准确性和可靠性对整个制造过程来说就很关键。这些系统的主要目的就是准确的传输预定质量的气体。由于质量和体积之间的关系并不是恒定的,取决于其它的因素,因此单纯的容量流控制装置不是特别的有用。
在过去,工程师们使用热质量流控制器来控制过程气体的流量。在一个完整的气体传输系统中,这些热质量流控制器同各种过滤器、压力传感器以及控制阀一起出现。这些部件通常与钢制管道以及各种机械联接器连接。典型的连接方式包括焊接、铜焊和各种可再利用的装置。这些装置采用压紧的合成橡胶和金属密封来形成一个真空的机械密封。
图1是热质量流控制系统100的一个实施例。气体首先进入一个气体进口102,然后通过流道103。在入进口102之后,气体流过一个旁通限制器104。由于穿过旁通限制器104产生的压力差,一定比例的气体会转移到流道107中的毛细管106中。一个多级加热线圈105被缠在毛细管106上。线圈105包括多个端,在此实施例中共计三个,分别标示为105a-105c。当气体从毛细管106流出时,与主气流108汇合形成一个汇合流111,继续流向控制阀112。控制阀112包括阀线圈和磁铁114和活塞116。活塞116的位置控制着流过质量流控制器的气体流的量。宽一些的活塞设置允许更多的气流,而窄一些的活塞设置允许了较少的气流。如下所示,控制电子元件122调整活塞的位置来获得所需要的气流。经过控制阀112后,流道118中的气流在气体出口120处离开质量流控制器100。气体出口120可以通过进一步的“下游”管道(未示出)通向一个处理室。
质量流控制器100根据以下原理工作。通过毛细管106的流体的质量(气流107)与通过旁通限制器104的流量(气流108)成一定比例,从而提供了一种典型的测量通过系统的全部流量的方法。因此,气流107的质量乘以一个固定的数字就等于气流108中的气体质量。气流107和气流108的总和等于气流103。考虑到毛细管、旁通流道和控制阀的相对尺寸和配置,质量流控制器100可根据一定的流量范围制造。
根据一种质量流的测量方法,电流从端105a到端105c通过加热线圈105。加热线圈105的电阻与温度呈已知方式变化。因此,当线圈105在惠斯通电桥情况下工作时,端105b可以用作一个测量点。当气体流过毛细管106,大量的热气体将热量从线圈的开始部分(在端105a和端105b之间)传到第二部分(在端105b和端105c之间)。流过的气体的质量决定了所传的热量,这导致了105a-105b段和105b-105c段之间成正比的电压差。这个电压差代表了在管106中的质量流的量。当知道了在毛细管106中的流量后,气流103的全部质量就可以容易地如上计算出。
这个测量方法的不同的形式也已经被使用。例如,可以利用一个加热线圈和两个测温装置来测量流体带来的热交换。另一种替代方法是在一个或两个线圈内通过不同量的电流来在毛细管中保持流体带来的固定的温度差。
在操作中,控制电子元件122在一个闭合反馈系统中调整活塞的位置。概括地说,电子元件122将检测到的质量流(由毛细管106测量出)和期望的质量流(由输入提供)比较。然后,根据这种比较,电子元件122相应地调窄或打开活塞116的位置。
质量流控制系统是气体传输系统中最重要的部分之一。不幸的是,现有的质量流控制系统也是整个系统中最不可靠的一个环节。各种配置的毛细管,线圈,旁通限制器和控制阀被使用来制造质量流控制系统。然而,几种不同的因素造成在质量流校准和运行中的不期望的偏差。如果在旁通限制器附近形成任何液体或者其它污染,气流107和气流103之间的关系就会改变,总体的装置校准也会变化。在旁通流道中或流道其它地方形成的凝结是校准错误的另一个来源。线圈的老化和线圈与管的外部之间的热接触的性质造成了长期的校准偏差。在经受线圈加热时,处理气体的化学成分的变化也影响了过程的一体性。
肯尼迪的美国专利No.4,285,245中公开了另一个流量控制系统。肯尼迪测量了一个固定容量的测量室的压力降,并通过将测量到的压力差除以降低所用的时间计算出压降率。此压降率与容积流量成正比。尽管肯尼迪系统可能对它计划的目的有用,但它可能在对寻求准确地控制质量流的应用时显得不足。特别是,气体的质量不是总和体积成比例,因为两者的关系要受到一些因素的影响,例如绝对压力和温度。同样,质量流量会产生肯尼迪系统无法检测到的微小的递增的变化,这是因为,正如本发明者所意识到的,肯尼迪系统缺乏任何持续的或者实时测量和流量控制方法。因此,肯尼迪方法在应用于精确控制质量流时不能令人满意。
在半导体生产线上,错误的传输处理气体可能代价特别高昂。在一些情况下,如果处理气体被错误的输送到一个处理室的硅片上,这个硅片可能就被毁坏。并且,由于经济上许可了越来越大的硅铁的存在,这些硅片如果毁损的话,就会尤其代价不菲。并且,在这样的错误中,修理或替换质量流控制器以及重新运行作业都是很昂贵的。在很多情况下,制造中的停工会造成每小时超过125,000美元的收入损失。
考虑到这些局限性,已知的质量流控制器由于某些未解决的问题,对于一些应用是无法完全胜任的。
发明内容
概括地说,本发明涉及一种改进的气流系统,它可以在传输气体至处理室的过程中准确地测量气流。还可以根据流体测量方法添加一些步骤来精确地控制气流。这个质量流系统包括一个流体管道,依次与进口阀、校准容积、节流器、和流量控制阀连接。压力和温度传感器与校准容积联接。质量流传感器安装在校准容积的下游。在一个示例中,下游的质量流传感器包括一个具有两个压力传感器的浮动参考压差传感器。第一传感器安装在节流器上游的管道上,第二传感器安装在节流器下游的管道上。每个传感器从一个参考源处接收一个标准压力,再测量相对于标准压力的压力。
在操作中,质量流系统接收“目标质量流量”或者“设定点”的用户具体规定,规定合适的单位下所希望的质量流量,例如每分钟标准立方厘米。在接收“设定点”之前或之后,填充校准容积,调整标准压力来校准浮动参考压差传感器。然后,开始启动气流。在气体流动过程中,测量反复进行,包括校准容积内的绝对压力测量和流量节流器内的压差测量。这些测量也被用来确定“质量流量测定值”。如果需要进行气流控制,应用合适的控制阀反复调整气流直到测量到的流量达到目标质量流量。在校准容积中使用温度/压力感应装置,对实际质量流量进行反复计算来揭示实际和测量质量流量的差别。一旦发现差异,计算测量流量的方式很快得到“修正”来解决差异。如果需要气流控制,就对气体流速进行调整,直到测量到的质量流量(已修正的)和目标质量流量一致。
另一种下游质量流传感器的实施例也得到了公开,例如一种热质量流传感器,一种单膜型压力传感器与节流器平行固定,或者一个压力传感器安装在节流器的上游。
因此,在一个实施例中,本发明可以实施用于提供一种方法来运行气体传输质量流测量系统。在另一个实施例中,本发明可以实施用于提供一种装置,例如一种气体传输质量流测量系统。在另外一种实施例中,本发明可以用于提供一种含指令的介质来运行气体传输质量流系统,这种介质包含数字数据处理器可执行的、机器可读的指令程序。另一个实施例关注的是逻辑电路,它含有多个相互连接的电子传导元件,用于运行气体传输质量流系统。
本发明提供给它的使用者很多显著的优点。主要地是,本发明通过气体传输中采用单独的质量流测量方法,并将测量结果与更精确的测量(可选性的调节)气流比较,确保了气体传输的准确性。本发明中的质量流会更准确,因为本发明在“实时”的实际气体传输中,反复测量了气流并修正了这些测量结果。在一个实施例中,本发明可以利用浮动参考压差传感器来测得更准确的气体流量。与在先技术不同的是,这些浮动压差传感器提供了一个更宽范围的测量方法和更高的分辨率。另一个优点是,浮动压差传感器可以使用于腐蚀性的处理气体,因为传感器的精密的背面通过与一个参考导管联接而进行了隔离,该参考导管中含有一种精心控制压力的安全气体。
本发明在广泛的应用中也是非常有益的。除了应用在半导体制造中,本发明还可以应用于为窗户,金属和其它物质涂层准确地传输气体。本发明还具有其它的优点,这些将通过对本发明的如下描述更明白地展示。
附图说明
本发明的目的、优点和特点将通过下列结合附图的具体描述得到进一步的说明。
图1是一个已知质量流控制器的方框图;
图2是利用浮动压差传感器进行实时流量测量、调控和校正的宽范围气体传输质量流控制系统的硬件部件结构和连接方式的框图;
图3是另一个气体传输质量流控制系统实施例的硬件部件结构和连接方式的框图,其中一个浮动压差传感器与节流器相对平行地安装;
图4是本发明中具有多流道和共享的标准容积来传输不同的处理气体的气流控制器的硬件部件结构和连接方式的框图;
图5是本发明中一个数字数据处理器的框图
图6是本发明中含指令的介质的一个实施例
图7A-7B是通过控制下游的质量流来操作气体传输质量流控制系统的工作流程图;
最佳实施方案
本发明的特点、目的和优点将结合附图通过下述具体描述更清楚地为本领域内的技术人员所了解。如上所述,本发明涉及一种改进的气体传输质量流系统,它在传输处理气体到处理室的过程中能够准确地测量质量流,修正所述测量结果,选择性地调整气流。所述测量是一个或多个放置在下游节流器的传感器和校准容积处的上游传感器共同作出的。对两步气流技术的分析
与使用上述毛细管的热气流控制器不同,1999年2月2日授权给Wilmer的美国发明专利No.5865,205公开了另一种传输系统。Wilmer使用了一种已知容量的储存器,在处理过程启动时装满有气体。测量储存器中的气体的温度和压力来确定存储器中气体的初始质量。自校准的动态流电路控制下的一个可变流量控制阀测量从存储器到处理室的气流。在流入处理室的气流被终止后,储存器中的气体的温度和压力重新被测量来确定储存器中的气体的最终质量。气体的初始和最终质量值进行比较来确定在处理过程中从存储器中逸出的气体质量。这个值作为校准伺服回路的输入来更新系统的校准常数。
Wilmer使用了一个两步的气体传输过程,其中(1)气体首先从存储器传输到处理室,(2)在气流终止后测量存储器中气体的温度和压力。这种传输后的测量用来帮助校准“离线”的系统,即非“实时”系统。
尽管Wilmer成功的省略了毛细管和相伴的局限性,本发明通过关注任何可以改进之处来分析Wilmer的方法。在这种努力中,本发明考虑了Wilmer的方法中没有考虑到的局限性,Wilmer的方法只是修正了测定点而不是流量测量本身,这就不能实现二次监控装置或系统来接收实际流量信号或者数值。
Wilmer流量控制方法的另一个局限性是需要气流以声速通过一个小孔,因此无法应用在传输受溶解变化、化学分裂等负面影响或其它对物质的性质产生不期望的影响的气流上。
Wilmer流量控制方法的又一个局限性表现在,气流控制器校准不是经常进行,因为Wilmer的气流控制器校准是在过程开始后离线操作的。也就是说,Wilmer在过程执行后测量存储器中剩余气体的压力和温度来确定在过程运行中实际流出的气体。尽管检查最后一次运行的流量测量方法的准确性是有用的,这种技术严重地限制了每次运行过程进行一次校准。由于更频繁的校准有助于这些方法的应用,Wilmer的方法就可能存在不足。并且,Wilmer的方法对于单次气体传输过程来说就完全是不够的,因为这种情况下,传输后校准没有意义。硬件部件和相互连接系统结构简介
本发明的一个方面涉及到一种气流系统,可以如图2中的系统200所示通过各种硬件部件和相互连接来体现。系统200包括一个流道214,一个电子模块213,一个处理室215和一个流出口252。流道214精确的引导处理气体以一种用户选择的质量流量流入处理室215,被用于半导体制造,镀层或其它在处理室215中的加工过程。其余的时候,流道214引导着气体到流出口252将气体从流道214中的部件中清除。
电子模块213控制着流道214中的各种电子运行部件,并接收从其它部件来的电子测量结果。在以下的描述中,电子模块213与这些部件通过电子传导线或通路联接在一起。然而,其他的合适连接方法可以被使用,如光纤线,无线传输应用光束,无线电波,声音等。处理室和流出口
在一个处理运行中,处理室215是从流道214流出的处理气体的目的地。在处理室215中,处理气体与为了这种目的已经放置在处理室215中的物质发生相互作用。这些相互作用可能包括镀层、反应、蚀刻、沉淀、化学粘合或类似的方面。举例来说,在制造集成电路或平板显示器等类似产品时从流道214中流出的处理气体可能应用于半导体物质。另有一个例子,从流道214中流出的处理气体可能应用于给窗户、金属、塑料或其它完全不同的物质镀层。
处理室215包括一个足够容纳待处理物质的容器。处理室的结构如同那些应用在半导体制造里处理室一样已经为这一领域人员熟知,因此无须更多叙述。
流出口252提供了一个引导气体从流道214流出的地方。举例来说,可以通过效用气体冲刷流道214并最终流出流出口252的方式来清洁流道214。流出口252还有一个用途。在一个制造过程中,一种效用气体可能从一个“参考回路”中流过,最终离开流出口252。这在下述的校准或者应用浮动标准压力传感器的时候非常有用。
在一些情况下,如果从流道214出来的气体是无毒的,流出口252就可以成为直接通往周围大气的出口。在另一些情况下,流出口252可能包括一个合适的储存设施,一个真空泵或其它合适的管道排气装置。流出口的结构,如同那些应用在半导体制造中的流出口,已经为本领域内的人员熟知,因此无须进一步的叙述。
尽管流道214和电子模块213体现了本发明的各种不同的特征,其它的部件,例如处理室215,就仅仅就实现本发明用途的目的加以描述。流道
流道214包括一个处理气道214a和一个效用气道214b来引导处理气体或者效用气体。处理气体被引导至处理室215,应用在放置在那里的物质上。举例来说,处理气体可以是氮气、氧气、臭氧、硅烷、氩气、氯化的碳氟化合物等。效用气体的使用不同于作用于处理室中的物质的目的。例如,效用气体可以通过流道214a来到流出口252从而清洁流道214a。
在另一种应用中,当处理气体通过流道214a时,效用气体可以在应用在流道214b中的压力下来校准流道214a中浮动压差传感器,如下所述。当用于这个用途时,效用气体最好为一种无腐蚀性,无色、不溶于水的物质如氮气。这些性质可以确保效用气体不会损害浮动标准压差传感器的化学感应的背面,如下所述。
更详细的考虑流道214,流道214包括一个效用气体进口258通往一个“参考气体”流道214b。流道214b包括一个可调节的流量控制阀211,参考管道261,和节流器212。流道214b中的部件的顺序可以重新排列,这样流量控制阀211和节流器212的位置可以交换,控制阀211放置在参考管道261的下游,节流器放置在参考管道261的上游。这些部件和它们的操作在下面详细描述。
除了进口258和流道214b,流道214还包括一个与“处理气体”流道214a连接的处理气体进口256。进口256、258组成了流道214的上游端,处理室215和流出口252组成了下游端。一个三通阀201选择性地允许效用气体进口258或者处理气体进口256的气体进入处理气体流道214a。阀201响应从线201a上接收到的来自电子模块213的信号,在进口256、进口258、或者没有任何进口(关闭状态)间切换。市场上可获得的合适的三通阀包括“Parker”公司的“Ultraseal”阀和“Verriflo”公司的昆腾线阀。
在流道214a中从阀201继续向下,有各种分支部件,例如量计、阀等,通过金属管或者其他合适的管道等密封的管路相互依次连接。更具体地说,阀201联接在一个可选过滤器202上,来滤去例如湿气或微粒的目标污染物。一种市场中可获得的过滤器202是由“Parker”公司制造的烧结的不锈钢过滤器。过滤器202通向一个可能包括由一个坚固的金属块限定空间的校准容积203,具体如下所述。
一个温度传感器210可以连接在校准容积上来测量校准容积203中气体的温度。温度传感器210通过210a线发送一个气体温度读数的电子信号给电子模块213。尽管图中显示出温度传感器和校准容积直接连接在一起,它也可以采用间接连接方式,例如装在附近的流体管道或者其他足够靠近容积203的地方,以便进行热接触来测量温度。温度测量有助于测量和补偿各种热影响,例如校准过程中的气体热扩散、处理气体的温度波动以及其它因素。作为一种可行的又简单的替代元件,温度传感器210可以在系统200中省略。在这种情况下,就要假定温度是常数,例如周围大气温度。
一个绝对压力传感器204连接在校准容积203或从容积203中伸出的管道(如图所示)上,或者其它的合适位置来测量容积203中气体的绝对压力。传感器204通过线204a发送一个气体压力读数的电子信号给电子模块213。绝对压力传感器204测量的是“绝对”压力,因为测量相对于绝对真空的。因此,传感器204可以包括一个隔膜型的装置。这个装置的另一个目的是根据节流器206测量绝对压力,这样可以确定应用于层流时的平均压力。在所述的实施例中,绝对压力传感器204放置在校准容积203和节流器206的附近以便和二者的连接操作,同时保持高的流体传导率以及校准容积203与节流器206之间相对较短的距离。一种市场上可获得的绝对压力传感器是“Setra”公司的204型产品。
在校准容积203的下游,处理气体流道214a经过节流器206。节流器206包括一个层流元件、分子流元件、音速喷嘴、烧结的过滤元件,一或多个小孔,一个收缩管,一或多个毛细管,或者其它适合这种流段和在此讨论的测量技术的节流装置。
这个实施例显示出作为一个应用在层流或分子流段的范例,一个压差传感器安装在节流器206上。也就是,这个压差传感器包括一个位于节流器206上游第一浮动压差传感器205和位于节流器206下游的第二浮动压差传感器207。与绝对压力传感器204不同,浮动压差传感器205、207测量相对于流道214b上参考管道261提供的已调节的参考压差的压力。在这里,传感器205、207通过管道205a、207a与参考管路261相连接。传感器205、207还通过电子线路205b、207b与电子模块213连接来发送各自压力读数的电子信号给电子模块213。
每个传感器包括一个隔膜型的压力计,如电容压力计。隔膜压力计使用了一种具有相对端的薄膜,包在一个具有与薄膜两面相连的端口的外壳中。外壳的一半(背面)通向参考管道261,另一半(正面)通向流道214a。每个压差传感器测量参考管道的压力与流道214a的压力之差。在一个传感器的背面,一个例如电极的感应装置与薄膜连结在一起来感应薄膜的位置(从而得出两面的相对压力差)。感应装置可以检测出电容、应力、光、磁或其它性质的输入的变化。由于电极对污染物,腐蚀性的化学物和湿气的敏感性,每个传感器的背面只能接触参考管道261中清洁、干燥的的气体(通过管道205a或207a)。
除了每个传感器测量的压差外,传感器205、207的读数之间的差异构成了另一个压差读数,它代表着通过节流器206的压力差。市场上可获得的实现这种压差传感器205、207的产品有:“Setra”公司、数据仪器“DeltaMate line”公司、以及其它的厂商如MKS、Millipore和Edwards公司的228型号或者230型号压力传感器。
参考管道261通过调整流量控制阀211来允许预期的效用气体从进口258进入参考管道261来设定一个可选的压力。流量控制阀211和参考管道261内的压力受电子模块213从线211a接收的电子信号的控制。由于节流器212的存在,参考管道261内的压力可能会升高,这样就会允许参考管道261(高压)和流出口252(低压)之间存在一个压差。同样,节流器212也可以安装在参考管道261的上游,从而允许气体进口258和参考管道261之间存在压差,同时,流量控制阀211置于参考管道261的下游,响应电子模块213通过线211a接收到的电子信号,控制参考管道261内的压力。
处理气体流道214a还包括一个可调流量控制阀208,它的设置决定了在流道214a中流动的气体质量。电子模块213通过线208a与阀208连接来调节流量控制阀208的设置。流量控制阀211、208以及其它的流量控制阀可以为任何合适的控制阀,例如螺线管制动控制阀,压电控制阀,热动控制阀等。市场上可获得的流量控制阀有MKS公司的248型号的控制阀。
一个三通阀209选择性地将气体从处理流道214a排到处理室215或者流出口252。阀209通过线209a响应从电子模块213收到的电子信号。在处理室215、流出口252或者不是任何出口流道(关闭状态)间切换。电子模块
电子模块213控制着流道214中的电子元件,同时接收来自能以电子形式显示测量和状态信息的元件的数据。电子模块213通过接口260与一个数据输入/输出源(未示出)交换数据。数据输入/输出源可以是一个个人用户、一个控制系统、主机系统、通信网络等。依据费用、用户复杂性、和其他应用要求,接口260可以包括各种部件。在个人用户的情况下,这些部件可以是键盘、辅助键盘、视频、计算机监视器、计算机鼠标、滚球、声控软件、脚踏板、拨号盘、旋纽、开关等。在电子或机械用户的情况下,接口260的部件可能包括一个电线、蜂鸣器、电话调制解调器、音频、微波或者红外线联络、计算机网络或者其他的设备。
电子模块213自身可以通过不同的方法实现。在一个实施例中,电子模块213可以利用一个数字数据处理设备来实现。这种设备可以通过各种硬件及其连接来具体实现,其中一个例子就是数字数据处理仪装置500(见图5)。装置500包括一个处理器502,例如微处理器或者其它的处理设备,处理器502连接在一个存储器504上。在本实例中,存储器504包括一个高速存取存储器506和一个不可变存储器508。这个高速存取存储器506包括一个随机存取存储器(RAM),它可以用来存储处理器502所执行的程序指令。举例来说,不可变存储器508可以包括只读存储器(ROM),可重编程的ROM,压缩盘,或者一个或多个磁数据存储介质如硬盘驱动器、软盘、磁带驱动器或其它合适的存储设备。装置500还包括一个输入/输出510,例如一根导线、总线、电缆、电磁连接或者其他形式,使处理器502同装置500的外部部件交换数据。
为了支持与系统200内的模拟设备的通信,电子模块213可以进一步包括一个或多个模数转换器和数模转换器(图中未示出)。然而,如果系统200中的阀和感应设备具有数字输入/输出时,这些转换器就没有必要了。
尽管有这些在前的详细叙述,普通技术人员(已受益于本公开的发明)会意识到上述的数字数据处理装置可能以不同结构的设备形式实现而不脱离本发明的范围。举个具体的实例,可以取消部件506、508中的一个。而且,存储器504可以在处理器502内,甚至可以在装置500的外部。
此外,与电子数据存储装置500不同(如图5所示),电子模块213可以利用逻辑电路替代计算机执行的指令实现功能。根据速度、费用、加工成本等应用的具体要求,逻辑电路可以通过构造一个包括成千的微集成晶体管的特定用途集成电路(ASIC)来实现。这种ASIC可以使用CMOS、TTL、VLSI或其它合适的结构。其余的替代品包括数字信号处理芯片(DSP)、离散电路(例如电阻器、电容、二极管、传感器和晶体管)、现场可编程的门阵列(FPGA)、编程逻辑阵列(PLA)等。实例结构
在一种实例结构中,流道214可以通过形成一个整体的块组件(未示出)来构造,流道和内部空腔均构成于块中。选择性地将一块盖板下侧加工出流道或空腔后,焊接到块组件上可实现一个或者多个特征。从经济的角度来看,这种一体式的流道更为理想。如果需要的话,这个块组件可以不使用金属密封从而避免泄漏并能降低成本。这个块组件可以用不锈钢、铬镍铁合金、镍基合金、VIM/VAR、铝或者其他合适的具有足够抗腐蚀、强度、硬度和表面特性的材料制成。为了简化结构,流道214b和相关的部件可以与块组件分开制造,因此可以允许在流道214a和它的部件上并排安装附加装置。
在这个实施例中,块组件可以包括4个管道接头,为进口258、进口256提供通向处理室215和流出口252的流道。与块组件一体的管道接头与工业中已知并通常使用的标准金属密封阀连接是兼容的。
块组件可以配置适当的安装部件,用以可分离的部件来工作和/或者置换。举例来说,使安装的一种或所有的201到212的部件在使用时是可以更换的。该实施例中的校准容积203包括在块组件内的一个加工的空腔或者可移动的滤毒罐。根据具体有应用,节流器206可以是加工成的、永久固定在块组件内的,也可以是可移动安装的。不同的实施例
图3显示了系统200的另一种形式,其中单个传感器316替代了两个传感器205、207。根据应用的需要,这种实施方式可能更适合于系统200,因为它降低了成本并通过省略参考管道261简化了设计,并且使用了更简单的压力传感器。
同系统200(图2)一样,系统300(图3)中的传感器316与一个节流器306相连接。但是,传感器3 16不使用任何参考压力。因此,图2中的效用气体流道大部分是空的。作为一个例子,传感器316可以包括一个例如隔膜型装置的压差传感器。
在这种方案中,效用气体进口302与三通阀301连接,三通阀301选择性地允许效用气体(从进口302)或者处理气体(从进口304)进入处理气体流道314a或者切断两个进口气体。处于下游端的三通阀319选择性地引导气体从处理气体流道314a流到处理室或者流出口,或者切断两个出口流道。
在另一个实施例中(图中未示出),节流器306和压力传感器316可以被一个使用如前所述的毛细管的热质量流传感器替代。在这个实施例中,这个毛细管就构成了一个节流器。也可以用一个热质量流控制器来替代306、308、316等元件。具有单独校准容积的多流道气流系统
作为一种替代的设计,系统200、300(图2-3)中的每一个都可以用平行的复合处理气体流道来构造。例如,在系统200中,可以构造部件201-210和其连接管道的复制品来形成复合处理气体流道。这些复合流道(没有示出)可以共享效用气体进口258,处理室出口254和流出口252,同时每个流道有单独的处理气体进口256。这个实施例提供了一种方法,可以选择性地供应准确控制的通向处理室215的两种以上气体质量流比率。可以对系统300(图3)进行类似的修改。具有共享的校准容积的多流道气流系统
作为图2-3中系统的替代方式,图4显示了一种两类处理气体共享一个校准容积的系统400。尽管这个示例使用了两种处理气体,普通技术人员(已受益于本发明的公开)会意识到本发明还包括系统400的变种,可以包括更多种类的处理气体或者是一种处理气体向多处位置供应,如向一个以上的处理室供应。
系统400包括第一和第二处理气体流道450、460。两个流道450和460共享一个校准容积403、温度传感器470和绝对压力传感器420。这些部件可以包括与上文所述的相似装置。
第一流道450包括一个接收第一处理气体的进口404,和一个接收效用气体的进口402。一个三通阀408选择性的允许来自进口402、404中的一种、另一种或者没有任何气体进入过滤器412。过滤器的下游是另一个三通阀417,它或者是(1)通过引导进口气体向左(如图所示)通入校准容积403以及其它的相连接部件,或者是(2)引导气体向右(如图所示)绕过校准容积403。
校准容积403和相连接部件的下游是一个三通阀419。阀419选择性地引导校准容积403中出来的气体回到流道450、460中的一个。第一流道450中其余部件包括一个节流器424以及(1)一个平行安置的压差传感器422;(2)一个安装在节流器424上游的绝对压力传感器423;(3)一个安装在下游的可调流量控制阀430。这些组成构件与前述实施例里所讨论过的那部件具有相似的结构和功能。流道450还包括一个三通阀434,它可以选择性地引导气体通往处理室438或者流出口440。
系统400描述了部件422、423、424、430来阐述一个应用于层流的示例。虽然如此,(1)绝对压力传感器423可以在应用于分子流时省略;(2)压差传感器422可以在应用于音速流时省略;或者(3)部件422、423、424、430可以用一个热质量流控制器来替代。
第二流道460包括类似的部件,也类似地可以引导气体进入或不进入共享的校准容积403。如同流道450,流道460也可以选择性地引导气体进入处理室出口438或者流出口440。
尽管第一和第二流道450、460显示出具有相似的部件及相同的排列,流道450、460可以是不同的。为了最佳适应应用场合,一个流道的部件的排列顺序可以与另一个不同、部件的数量也可以比另一个多或者少。
在另一种运行的方式中,系统400可以构造的使气体绕过校准容积403直接通过流道450的节流器424。系统400也可以构造的使气体绕过校准容积403直接通过流道460的节流器。替代的配置分子流
下面描述实施例200(图2),当节流器206中的处理气体呈现分子流状态时,流量控制阀208和单元205/206/207的位置可以进行交换。这个实例对于节流器206中包含分子流成分的低压的情况非常有用。
相似的,涉及到实施例300时,在应用于类似的分子流情况时,流量控制阀308和单元306/316的位置可以交换。音速流
下面描述实施例300(如图3所示),当处理气体呈现扼粘性流(音速流),节流器306的上游压力超过下游压力的两倍时,流量控制阀308和单元306/316在应用中的位置可以互换。在这个实施例中,控制阀308安置在节流器306的上游,压差传感器316可以被一个位于控制阀308和节流器306之间连接于流道314a中的绝对压力传感器(未示出)代替。在这种构造情况下,节流器306被设计来作为一个音速喷嘴,在节流器上游的绝对压力至少是下游压力的两倍时,实时流量测量结果会受到测量上游绝对压力的影响。质量流可以通过应用合适的音速或扼流公式来确定,该公式显示质量流与音速流中节流器306上游的压力以及节流器306的传导率成正比。
                      操作
除了上述的各种部件的示例外,本发明另一方面关注的是一种运用实时流量测量、修正以及选择性的流量调节来操作宽范围质量流控制器的方法。含指令的介质
在一个实例中,通过操作电子模块213(见图2),具体如数字数据处理装置500(图5所示),执行一串机器可读的指令来实现该方法。这些指令可以存储在各种类型的含指令的介质中。在这种情况下,本发明的一方面关注一种已编程的产品,它包括一个用来操作具有实时流测量和校准的宽范围质量流控制器的数字数据处理器可执行的机器可读指令的程序的含指令介质。
举例来说,这个含指令的介质可以包括RAM(没有示出),它包含在电子模块213(图2)中的快速存取存储器506(图5)内。另外,指令也可以包含在数据处理器502可以直接或间接接近的另一个含指令的介质中,例如磁数据存储盘600(图6)。无论指令是包含在装置500内,电子模块213内,还是其它地方,这些指令都可以存储在各种机器可读的数据存储介质中,例如直接存取存储器(如传统的“硬驱”,过剩排列廉价磁盘(RAID)或者另一种直接存取存储器设备(DASD))、磁盘、电子只读存储器(例如ROM、EPROM或者EEPROM)、光学存储器(例如CD-ROM、WORM、DVD、数字光盘)、纸质穿孔卡片或者其它合适的含指令介质,包括如数字式和模拟式通信线路或者无线电等传输媒介。在本发明的一个说明性实施例中,机器可读的指令可以包括如“C”语言编程的软件目标代码。逻辑电路
与上面所讲的含指令介质不同,本发明方法也可以通过逻辑电路来实现,而不必通过一个处理器来执行指令。这样实施本发明时,逻辑电路可以设在电子模块213中,其构造可以完成实施本发明方法的操作。逻辑电路可以如前所述,采用许多不同形式的电路。操作顺序导言
概括地说,本发明涉及一种操作气体传输系统来准确测量质量流的方法,它可以进一步被实施来供应受精确控制的气流。首先,给校准容积充一定量的气体,这个被充气的校准容积提供了待传输的气体的初始量。然后,打开各种阀将气体从校准容积排放到流动线路中,并最终向下游流进压力室215。最初,一个目标质量流量被输入、计算或者建立。
然后,当气流通过系统时,质量流量被如下述仔细地监控(或选择性地调节)。通过多次重复,各种流体的特性参数在校准容积的下游被测量。这些特性参数可以是绝对气体压力、气体压差、也可以是这些测量或其它反映质量流量的测量的结合。利用这些流体特性参数确定气体质量流量,然后(选择性地)调整它以维持目标质量流量。
同样在重复的场合中,流出校准容积的实际质量流量被测量。这些测量可以利用温度和压力传感器进行,温度和压力传感器安装在校准容积上或附近能连接、工作的位置。如果实际质量流量和测量的质量流量的差异超过一个预定的数值,这种测量质量流量(如相关的流体特征值)的方法就会被调整来修正这种差异。因此,测量下一次下游的流体特征,下游的质量流量的测量(已修正)被用来调节质量流量以符合目标质量流量,从而补偿前一次的差异。
除了测量和调整气流以外,本发明还考虑了在不调节气流的情况下利用在此已说明的技术来减少测量气流的步骤。尽管如此,为了这个说明的完整性,下面的描述将使用图7A-7B来说明测量和调整气流的一个实例。操作的顺序
图7A-7B显示了一种操作顺序700来阐述前述方法的一个实例。这种技术通过确定校准容积下游的“测量到的”质量流量,并且重复地修正气流流量来保持与目标质量流相同流量的质量流量来调控气流。在某些时候,会进行校准容积处的测量来确定实际质量流量,实际质量流被用来执行“即刻”的对测量到的质量流量的计算从而得到一个“被修正”的质量流量。
为了简化说明,同时没有特意的限制,图7A-7B的实例将在上述的图2中的系统200的背景中进行描述。
图7A-7B中描述的迭代的线形过程只是用来展示电子模块213规定的过程的逻辑部件。它并不排除其它方法的实施,如优先中断驱动操作系统、多任务操作系统、基于目标的实时核心应用或其它的为了获得与这个实例相似的流体性能而影响过程任务的方法。
在步骤702启动了操作700之后,步骤704建立了一定的流体修正值,它被用来计算节流器206中的测量的质量流量。这些值和它们的使用将在下面进一步详细叙述。更有益的是,这些值可以通过变换对下游质量流进行不同评估,从而修正在校准容积内确定的“实际”质量流所指示的错误。在704步骤后,电子模块213接收一个预期的或者“目标”质量流的值。这个值可以通过一个操作员、控制系统或计算机网络的接口260接收,或者检索电子模块213内的短暂或不可变存储器得到。这个目标质量流量可以是每分钟标准立方厘米单位(sccm)或者每分钟标准公升(slm)。
在步骤706之后,步骤708为校准容积203充气到一个目标压力。它是通过电子模块213发送合适的命令来关上关闭阀209(如果没有关闭的话),并设定三通阀201来引导处理气体从进口256到校准容积203中实现的。这个校准容积的目标压力是根据需要的处理压力、校准容积大小、期望的气体流动时间、期望的流速等选择的。另外,步骤708可以在步骤706前执行而不会对这个过程造成实质性的影响。
在这个被阐述的实例中,步骤708还校准浮动压差传感器205/207。概括的说,电子模块213发出合适的指令来开启流量控制阀211,从而允许足够压力的效用气体来平衡每个传感器的隔膜的背面和相对的正面的压差,使传感器205,207清零。由于每个传感器205、207都提供了一个相对于参考管道261内的气体压力的压力输出,步骤708包括了改变参考管道261中的压力直到传感器205和207的读数都几乎归零。如果由于制造误差或者其它原因,一个传感器比另一个读到的值略微偏高或者偏低,效用气体压力将被调节致使传感器205和207的平均压力为零。这个标准压力如刚才所述可以设置一次,或者在整个过程中被调节来使传感器205和207间的平均压力为零。
在步骤708之后,步骤710启动气流。它通过电子模块213开启通向处理室215的三通阀209,并稍微开启流量控制阀208来实现。步骤712代表了一个处理回路的进入点,回路中每个反复都以电子模块213检测信号来停止气流开始。举例来说,当不再需要气流时,停止气流的信号可以从接口260处产生。如果这样的信号在步骤712中被检测到,步骤714就会通过关闭三通阀209来终止气流。在步骤714之后,电子模块213转到步骤706去等待下一个开始气流的信号。
如果步骤712确定了没有指示终止气流的信号,在步骤716中,传感器205、207测量横过节流器206的压差,传感器204测量节流器206上游的绝对压力。步骤716根据这些压力值确定质量流量。可以根据海根-波斯力等式来进行层流的计算,等式1如下:(1)               Q=K1CP1(P3-P2)其中 Q=质量流
 K1=一个常数
 C=传导率
 P1=平均压力
 P3=进口压力,来自传感器205
 P2=出口压力,来自传感器207
为了阐述的需要,在这个实例中假定是对于层流场合的应用。在应用于分子流场合时,等式中P1被省略,K1代表了一个不同的值。同样,在应用于音速流场合的时候,P1、P2、和P3被省略,K1代表了一个不同的值。在这种情况下,通过绝对压力传感器204测量P3以确保音速条件。
步骤716也可以应用这些流量的修正值来产生一个质量流的最终测量结果,这一结果是依照所有在先的在校准容积中的“实际”质量流测定结果而进行了修正的结果。举一个例子来说,可以在下面等式2所示的公式中应用流体修正值获得计算结果。其它的公式也可以替代的使用。例如分段式线形、二次、多项式插值查询表,以及这些或其它通用的方法的结合来修正测量的数字错误。(2)                   Q=mQ1+b其中:Q=修正后的质量流量
  Q1=测量的质量流量
  m=测量修正
  b=偏移修正
对流量修正值的调整将在下文中进一步详细讨论。在步骤718中,电子模块213确定修正的测量质量流是够符合目标质量流。如果不是,步骤720就增加或者减少气流,并回到步骤712。举例来说,气流可以通过对控制阀208的控制信号进行细小的、预定量的改变来增加或者减少。另外,步骤716、718和720可以通过不递增地应用一个模拟伺服回路或者一个数字伺服回路来实现。
当目标质量流已经达到后,从步骤718进入了步骤722,它确定校准容积203是否通过三通阀201与处理气体进口256相互隔离。如果校准容积203没有和处理气体进口256隔离,就会被重新充气。在这种情况下,步骤724通过读取压力传感器204来测量校准容积203中的压力。然后,步骤726比较在步骤724中测量的压力和目标压力(在步骤708中已叙述)来确定校准容积203是否已经达到了预期的压力,在这种情况下,电子模块213在步骤728中关闭三通阀201。在这两种情况下,处理回路都回到步骤712。
然而,如果步骤722确定校准容积203同处理气体进口256是隔离的,因此当气体从校准容积中流出时压力会降低。然后,在步骤730中,压力传感器204测量校准容积203中的压力。接着是步骤732,它对这个压力进行评估以确定它是否处在被认为是对正常的下游气流测量和控制运行来说过低的值。这个测定结果是基于各种因素,包括流道214a的设计和相关的部件以及上游和下游的压力、质量流量和其它因素的考虑。如果步骤732确定了校准容积203的压力在极限以下,步骤734就会打开通向处理气体进口256的三通阀201,开始重新充气补偿校准容积的压力。作为一种上述循环描述的替代方法,步骤722-734可以通过非递增的利用模拟伺服回路实现或者伺服回路的数字式的实现。
另一方面,如果步骤732确定了校准容积203内的压力在可接受的限度内,步骤736会测量流出校准容积203的质量流量。流出校准容积203的质量流量被称为“实际流量”,根据如下建立在理想气体定律上的等式3计算得出。 ( 3 ) - - - Q = K 2 VdP Tdt 其中:Q=质量流量
  K2=一个常数(具有负值)
  V=校准容积203的容积
  T=校准容积203中的温度
校准容积203中的压力相对于时间的变化率
校准容积203中的压力相对于时间的变化率是通过传感器204的连续测量值的差异除以能被电子模块213读取的钟或者其他参考部件(未示出)测定的连续测量点之间的时间差得到。几次测量结果可以取平均。校准容积203中的气体温度可以通过读取温度传感器210的测量值得到,或者采用一个预定的或假定的温度,如周围大气温度。
在步骤736之后,步骤738确定在(1)流出校准容积的实际质量流量的测量值(步骤736)和(2)由绝对压力传感器和压差传感器所指示的通过节流器206的“测量到的”质量流量(步骤716)之间是否存在差异。根据实际应用的要求,当实际和测量的气流存在着无论多少量的不同,例如实际流量的百分之一,一个如0.01sccm的固定值等等,“差异”都会产生。如果不存在差异,步骤738就会返回到步骤712。
如果存在着差异,步骤740将计算流量修正值的更新值。它可以通过反向运用等式2或者可以反向利用可替代的任何等式或在步骤716中使用的等式来修正测量到的质量流量。在步骤470之后,步骤742评估系统200的运行,并采取任何被认为合适的操作。在一个实例中,步骤742的评估将当前的流量校准与过去的数据对比评价来预测即将发生的由于污染、传感器偏移、处理气体中的杂质、必须的维护等等所造成的可靠性问题。例如,一个越来越高的下游压差的需求可能标示着节流器206的堵塞,大范围变化的流量修正值可能标示着压力测量或者其它系统问题。这个评估还可以确定在用户选择的维护时间表下的任何操作是否需要进行。如果步骤742的评估揭示了问题,就会采取合适的操作,例如暂时关闭系统200,通过接口260给操作者或者控制系统发送警告信号,定期或不定期的维护,如果从处理气体进口供应的气体不够维持预期的质量流量,结束程序700等等。如果步骤742没有发现任何问题,如上所讨论返回步骤712。其它实施方式
尽管上述的公开列举了多个本发明的具体实施例,对本领域的技术人员来说,很显然,可以在不超出权利要求所限定的本发明的范围的情况下对本发明做各种修改或者修正。并且,本发明中的部件可能以单件的形式被描述或者被要求,但是,除非已经明确声称有单个部件的限制,多件的形式也在考虑之内。

Claims (46)

1、一种测量气体传输系统中质量流量的方法,包括的操作步骤如下:
向一个校准容积填充处理气体;
启动处理气流从校准容积进入一个流道;
在气体流动过程中,
反复使用一个或多个校准容积下游的传感器测量压力,并利用包括压力测量结果的数据来进行一个计算过程从而计算出通过流道的一个节流器的质量流量;
反复进行下述操作:测量压力并确定校准容积中的气体温度,利用测量到的压力相对于时间的变化率和确定的时间来计算一个从校准容积中流出的气体的实际质量流量,确定实际质量流量与测量到的质量流量之间是否存在差异;
一旦发现了差异,修正所述计算过程,使测量到的质量流量吻合实际质量流量从而消除所述差异。
2、如权利要求1所述的方法,其特征在于,所述利用一个或多个校准容积下游的传感器进行压力测量的操作包括:使用一个或多个安装在节流器附近的传感器测量压差。
3、如权利要求1所述的方法,其特征在于,所述使用一个或多个校准容积下游的传感器进行压力测量的操作包括:使用一个或多个安装在节流器附近的压力传感器测量压差并测量节流器内的平均绝对压力。
4、如权利要求1所述的方法,其特征在于,所述利用一个或多个校准容积下游的传感器进行压力测量的操作包括:使用一个安装在流道中的节流器的上游的绝对压力传感器测量绝对压力。
5、如权利要求1所述的方法,其特征在于,所述利用一个或多个校准容积下游的传感器进行压力测量的操作是通过一个包括一个毛细热质量流传感器的质量流传感器实现的。
6、如权利要求3所述的方法,其特征在于,所述的压力传感器包括一个浮动标准压差传感器,所述的浮动标准压差传感器包括安装在节流器的上游流道上的第一传感器和安装在节流器的下游流道上的第二传感器,每个传感器从一个参考管道中接收一个背面参考压力;这个操作还包括:在启动气流之前,通过参考管道对传感器提供足够的压力来校准压差传感器,以便每个传感器都提供一个接近于零的压力输出。
7、如权利要求1所述的方法,其特征在于,进一步包括:反复的调节气流直到测量到的质量流量与一个预定的目标质量流量吻合。
8、如权利要求7所述的方法,其特征在于,所述调节气流直到测量到的质量流量与一个预定的目标质量流量吻合的操作包括:使用一个模拟回路来调节气流直到测量到的质量流量达到目标质量流量。
9、如权利要求7所述的方法,其特征在于,所述调节气流直到测量到的质量流量与一个预定的目标质量流量吻合的操作包括:反复进行下列操作:逐渐增加地调节流量,并重新计算测量到的质量流量直到达到目标质量流量。
10、如权利要求1所述的方法,其特征在于,所述确定实际质量流量与测量到的质量流量之间是否存在差异的操作包括:确定实际质量流量和目标质量流量之间的差异,如果这个差异超出了预定的极限值,判定差异存在。
11、如权利要求1所述的方法,其特征在于,所述的传感器包括一个或多个校准容积下游的压力传感器;所述的计算过程包括对压力测量结果应用一个预定的数学等式来计算测量到的质量流量。
12、如权利要求11所述的方法,其特征在于,所述的修正计算过程的操作是通过改变数学等式来实现的。
13、一种含指令的介质,包含一个数字处理器可执行的机器可读指令的程序来操作运行一个测量质量流的气体传输系统,所述操作包括:
一种测量气体传输系统中质量流量的方法,包括的操作步骤有:
向一个校准容积填充处理气体;
启动处理气流从校准容积进入一个流道;
在气体流动过程中,
反复使用一个或多个校准容积下游的传感器测量压力,并利用包括压力测量结果的数据来进行一个计算过程从而计算出通过流道的一个节流器的质量流量;
反复进行下列操作:测量压力并确定校准容积中的气体温度,利用测量到的压力相对于时间的变化率和确定的时间来计算从校准容积中流出的实际质量流量,确定实际质量流量与测量到的质量流量之间是否存在差异;
一旦发现了差异,修正所述计算过程,使测量到的质量流量吻合实际质量流量从而消除所述差异。
14、如权利要求13所述的介质,其特征在于,所述利用一个或多个校准容积下游的传感器进行压力测量的操作包括:使用一个或多个安装在节流器附近的传感器测量压差。
15、如权利要求13所述的介质,其特征在于,所述使用一个或多个校准容积下游的传感器进行压力测量的操作包括:使用一个或多个安装在节流器附近的传感器测量压差并测量节流器内的平均绝对压力。
16、如权利要求13所述的介质,其特征在于,所述利用一个或多个校准容积下游的传感器进行压力测量的操作包括:使用一个安装在流道中的节流器的上游的绝对压力传感器测量绝对压力,利用包括所测的绝对压力等数据计算质量流量。
17、如权利要求13所述的介质,其特征在于,所述利用一个或多个校准容积下游的传感器进行压力测量的操作是通过一个包括一个毛细热质量流传感器的质量流传感器实现的。
18、如权利要求15所述的介质,其特征在于,所述的压力传感器包括一个浮动标准压差传感器,所述的浮动标准压差传感器包括安装在节流器的上游流道上的第一传感器和安装在节流器的下游流道上的第二传感器,每个传感器从一个参考管道中接收一个背面参考压力;这个操作还包括:在启动气流之前,通过参考管道对传感器提供足够的压力来校准压差传感器,使每个传感器都提供一个接近于零的压力输出。
19、如权利要求13所述的介质,其特征在于,所述操作还包括:反复调节气流直到测量到的质量流量与预定的目标质量流量吻合。
20、如权利要求13所述的介质,其特征在于,所述调节气流直到测量到的质量流量与目标质量流量吻合的操作包括:使用一个模拟回路来调节气流直到测量到气体质量流量达到目标质量流量。
21、如权利要求13所述的介质,其特征在于,所述调节气流直到测量到的质量流量与目标质量流量吻合的操作包括:反复进行下面的操作:逐渐增加地调节流量,并重新计算测量到的质量流量直到达到目标质量流量。
22、如权利要求13所述的介质,其特征在于,所述确定实际质量流量与测量到的质量流量之间是否存在差异的操作包括:确定实际质量流量和目标质量流量之间的差异,如果这个差异超出了预定的极限值,判定差异存在。
23、如权利要求13所述的介质,其特征在于,所述的传感器包括一个或多个校准容积下游的压力传感器;所述的计算过程包括对压力测量结果应用一个预定的数学等式来计算测量到的质量流量。
24、如权利要求23所述的介质,其特征在于,所述的修正计算过程的操作是通过改变数学等式来实现的。
25、 一种为实现一种气体传输系统控制操作的多重连接的电导元件的逻辑电路,其特征在于,所述操作包括:
向一个校准容积填充处理气体;
启动处理气流从校准容积进入一个流道;
在气体流动过程中,
反复使用一个或多个校准容积下游的传感器测量压力,并利用包括压力测量结果的数据来进行一个计算过程从而计算出通过流道的一个节流器的质量流量;
反复进行下面的操作:测量压力并确定校准容积中的气体温度,利用测量到的压力相对于时间的变化率和确定的时间来计算从校准容积中流出的气体的实际质量流量,确定实际质量流量与测量到的质量流量之间是否存在差异;
一旦发现了差异,修正所述计算过程,使测量到的质量流量吻合实际质量流量从而消除所述差异。
26、如权利要求25所述的电路,其特征在于,所述利用一个或多个校准容积下游的传感器进行压力测量的操作包括:使用一个或多个安装在节流器附近的传感器测量压差。
27、如权利要求25所述的电路,其特征在于,所述使用一个或多个校准容积下游的传感器进行压力测量的操作包括:使用一个或多个安装在节流器附近的传感器测量压差并测量气流的平均绝对压力。
28、如权利要求25所述的电路,其特征在于,所述利用一个或多个校准容积下游的传感器进行压力测量的操作包括:使用一个安装在节流器的上游的绝对压力传感器测量绝对压力并利用包括测量的绝对压力的数据计算质量流量。
29、如权利要求25所述的电路,其特征在于,所述利用一个或多个校准容积下游的传感器进行压力测量的操作是通过一个包括一个毛细热质量流传感器的质量流传感器实现的。
30、如权利要求26所述的电路,其特征在于,所述的压力传感器包括一个浮动标准压差传感器,所述的浮动标准压差传感器包括安装在节流器的上游流道上的第一传感器和安装在节流器的下游流道上的第二传感器的;每个传感器从一个参考管道中接收一个背面参考压力;这个操作还包括:在启动气流之前,通过参考管道对传感器提供足够的压力来校准压差传感器,使每个传感器都提供一个接近于零的压力输出。
31、如权利要求25所述的电路,其特征在于,还包括:反复调节气流直到测量到的质量流量与目标质量流量吻合。
32、如权利要求25所述的电路,其特征在于,所述调节气流直到测量到的质量流量与目标质量流量吻合的操作包括:使用一个模拟伺服回路来调节气流直到测量到气体流量达到目标质量流量。
33、如权利要求25所述的电路,其特征在于,所述调节气流直到测量到的质量流量与目标质量流量吻合的操作包括:反复进行下列操作:逐渐增加地调节流量,并重新计算测量到的质量流量直到其达到目标质量流量。
34、如权利要求25所述的电路,其特征在于,所述确定实际质量流量与测量到的质量流量之间是否存在差异的操作包括:测定实际质量流量和目标质量流量之间的差异,如果这个差异超出了预定的极限值,判定差异存在。
35、如权利要求25所述的电路,其特征在于,所述的传感器包括一个或多个校准容积下游的压力传感器;所述的计算过程包括对压力测量结果应用一个预定的数学等式来计算测量到的质量流量。
36、如权利要求35所述的电路,其特征在于,所述的通过下游传感器修正差异来调节测量的操作是通过改变数学等式来实现的。
37、如权利要求25所述的电路,其特征在于,所述逻辑电路包括一个集成电路。
38、一种气体传输系统,包括:
具有一个上游端和一个下游端的第一管道;
一个与第一管道串联连接的校准容积;
一个至少在校准容积和第一管道其中一个的合适位置安装的第一压力传感器;
一个与校准容积下游的第一管道串联的节流器;
一个与第一管道分离的参考管道
一个浮动标准压差传感器,包括一个安装在节流器上游的第一管道上的第一传感器和一个安装在节流器下游的第一管道上的第二传感器,每个传感器测量相对于参考管道内压力的压力。
39、如权利要求38所述的系统,其特征在于,所述的每个传感器包括一个外罩,外罩中包含一个隔膜,一个感应装置根据隔膜的位置提供压力输出。
40、如权利要求39所述的系统,其特征在于,每个传感器都包括一个电容式压力计。
41、如权利要求39所述的系统,其特征在于,包括一个或多个附加管道,每个附加管道包括各自的校准容积、绝对压力传感器、温度传感器、节流器和压差传感器和一个选择性地将其中一个管道与一个处理室连接的输出阀。
42、如权利要求39所述的系统,其特征在于,还包括:一个或多个附加管道,每个附加管道具有各自的节流器和压差传感器;一个多端口阀,每个管道与不同的端口连接使某时刻选择的一个管道与校准容积相连。
43、如权利要求39所述的系统,其特征在于,还包括:一个或多个气体进口;一个多端口阀与每个气体进口和校准容积连接用以在不同处理气体之间选择进入校准容积的气体。
44、如权利要求39所述的系统,其特征在于,还包括多个与第一管道串联的阀来引导并控制气流通过第一管道,每个阀都包括可变调节流量控制阀和多路阀中的一个阀。
45、如权利要求39所述的系统,其特征在于,还包括一个位于适当位置与至少校准容积和第一管道中的一个连接的用于测量校准容积内气体温度的温度传感器。
46、一种气体传输质量流控制系统,包括:
一个具有上游端和下游端的管道;
一个与第一管道串联连接的校准容积;
测量校准容积中气体压力的装置;
限制校准容积下游的管道内的气流量的装置;
一个参考管道和选择性地调节参考管道内压力的装置;
测量横过限制气流量装置的压差的装置,所述压力测量结果是相对于参考管道内压力的。
CN99816672A 1999-05-26 1999-12-04 带有实时流量测量和修正的宽范围气流系统 Pending CN1350668A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/318,880 US6119710A (en) 1999-05-26 1999-05-26 Method for wide range gas flow system with real time flow measurement and correction
US09/318,880 1999-05-26

Publications (1)

Publication Number Publication Date
CN1350668A true CN1350668A (zh) 2002-05-22

Family

ID=23239950

Family Applications (1)

Application Number Title Priority Date Filing Date
CN99816672A Pending CN1350668A (zh) 1999-05-26 1999-12-04 带有实时流量测量和修正的宽范围气流系统

Country Status (10)

Country Link
US (2) US6119710A (zh)
JP (1) JP2003501637A (zh)
KR (1) KR20020000867A (zh)
CN (1) CN1350668A (zh)
AU (1) AU2039600A (zh)
CA (1) CA2366580A1 (zh)
GB (2) GB2365980B (zh)
SG (1) SG102683A1 (zh)
TW (1) TW468101B (zh)
WO (1) WO2000073868A1 (zh)

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100444310C (zh) * 2005-12-07 2008-12-17 北京北方微电子基地设备工艺研究中心有限责任公司 一种质量流量控制器在线校验的方法
CN101187660B (zh) * 2006-07-18 2012-01-11 中国石油天然气集团公司 双槽式孔板型混输计量装置
CN103201611A (zh) * 2010-10-29 2013-07-10 贝克顿·迪金森公司 用于流动型颗粒分析仪的双反馈真空流动技术
CN104179601A (zh) * 2013-05-23 2014-12-03 罗伯特·博世有限公司 用于确定在内燃机的高压-废气再循环系统中的质量流量的方法和控制单元
CN104416256A (zh) * 2013-08-23 2015-03-18 珠海格力电器股份有限公司 钎焊充氮智能监控设备
CN105424272A (zh) * 2015-12-28 2016-03-23 黄山迈普汽车部件有限公司 一种适用于高原的真空度传感器
CN108088883A (zh) * 2016-11-23 2018-05-29 德尔格制造股份两合公司 用于检查气体引导元件的检测装置
CN108139760A (zh) * 2015-10-28 2018-06-08 株式会社富士金 流量信号补正方法以及使用其的流量控制装置
CN108227764A (zh) * 2016-12-15 2018-06-29 塞莫费雪科学(不来梅)有限公司 改进的气体流量控制
CN108227763A (zh) * 2016-12-15 2018-06-29 株式会社堀场Stec 流量控制装置和程序存储介质
CN109477752A (zh) * 2016-06-27 2019-03-15 应用材料公司 用于基于扼流的质量流验证的方法、系统和设备
CN110045755A (zh) * 2017-12-27 2019-07-23 株式会社堀场Stec 校准数据制作装置、校准数据制作方法和流量控制装置
CN110081944A (zh) * 2019-06-05 2019-08-02 浙江埃泰克环境科技有限公司 一种基于实时压力变化的气体测量方法及所用装置
CN110382103A (zh) * 2017-05-11 2019-10-25 株式会社堀场Stec 液体材料汽化供给装置和控制程序
CN113574204A (zh) * 2019-04-05 2021-10-29 万机仪器公司 用于脉冲气体输送的方法和设备

Families Citing this family (452)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6581623B1 (en) * 1999-07-16 2003-06-24 Advanced Technology Materials, Inc. Auto-switching gas delivery system utilizing sub-atmospheric pressure gas supply vessels
US6539792B2 (en) 2000-02-14 2003-04-01 Unit Instruments Method and apparatus for balancing resistance
US6405745B1 (en) * 2000-03-22 2002-06-18 Delphi Technologies, Inc. Ultra accurate gas injection system
WO2002008844A1 (en) 2000-07-08 2002-01-31 Fugasity Corporation Fluid mass flow control valve and method of operation
US6561218B2 (en) 2000-07-25 2003-05-13 Fugasity Corporation Small internal volume fluid mass flow control apparatus
US7061595B2 (en) * 2000-08-02 2006-06-13 Honeywell International Inc. Miniaturized flow controller with closed loop regulation
US6539968B1 (en) 2000-09-20 2003-04-01 Fugasity Corporation Fluid flow controller and method of operation
SE518058C2 (sv) * 2000-12-22 2002-08-20 Alfa Laval Ab Komponent för att stödja ett filterorgan i en portkanal till en plattvärmeväxlare, anordning innefattande ett rörformigt filterorgan och nämnda komponent samt plattvärmeväxlare innefattande ett rörformigt filterorgan och nämnda komponent
BR0208609A (pt) * 2001-03-22 2004-03-02 Fernando Milanes Garcia Moreno Método e sistema eletrônico para criação instantânea e armazenagem de histogramas de consumo em pontos de entrada de água potável
WO2002084156A1 (en) * 2001-04-11 2002-10-24 Asco Controls, L.P. Double block valve with proving system
AU2002307547A1 (en) * 2001-04-24 2002-11-05 Unit Instruments, Inc. System and method for configuring and asapting a mass flow controller
US7010464B2 (en) * 2001-07-25 2006-03-07 Schneider Automation Inc. Mobile HVAC cavity test device, method, and computer product
US6627465B2 (en) * 2001-08-30 2003-09-30 Micron Technology, Inc. System and method for detecting flow in a mass flow controller
EP1442343B1 (en) * 2001-10-12 2008-05-14 Horiba Stec, Inc. System and method for making and using a mass flow device
KR100878577B1 (ko) * 2001-10-18 2009-01-15 씨케이디 가부시키 가이샤 펄스 샷 타입 유량 조정 장치 및 펄스 샷 타입 유량 조정방법
US6711956B2 (en) * 2001-10-31 2004-03-30 Macronix International Co., Ltd. Method and apparatus for regulating exhaust pressure in evacuation system of semiconductor process chamber
US6901808B1 (en) * 2002-02-12 2005-06-07 Lam Research Corporation Capacitive manometer having reduced process drift
US7252011B2 (en) * 2002-03-11 2007-08-07 Mks Instruments, Inc. Surface area deposition trap
DE10216143A1 (de) * 2002-04-12 2003-10-23 Bayer Ag Vorrichtung zur Dosierung von Gasen
AU2002329084A1 (en) * 2002-05-02 2003-11-17 Royal Sovereign Inc. Roller driving apparatus of laminator
US6736005B2 (en) 2002-05-28 2004-05-18 Mcmillan Company High accuracy measuring and control of low fluid flow rates
US7000464B2 (en) 2002-05-28 2006-02-21 Mcmillan Company Measuring and control of low fluid flow rates with heated conduit walls
US6868862B2 (en) * 2002-06-24 2005-03-22 Mks Instruments, Inc. Apparatus and method for mass flow controller with a plurality of closed loop control code sets
US7552015B2 (en) * 2002-06-24 2009-06-23 Mks Instruments, Inc. Apparatus and method for displaying mass flow controller pressure
US7004191B2 (en) * 2002-06-24 2006-02-28 Mks Instruments, Inc. Apparatus and method for mass flow controller with embedded web server
US6712084B2 (en) 2002-06-24 2004-03-30 Mks Instruments, Inc. Apparatus and method for pressure fluctuation insensitive mass flow control
US6810308B2 (en) 2002-06-24 2004-10-26 Mks Instruments, Inc. Apparatus and method for mass flow controller with network access to diagnostics
US7136767B2 (en) * 2002-06-24 2006-11-14 Mks Instruments, Inc. Apparatus and method for calibration of mass flow controller
US20030234047A1 (en) * 2002-06-24 2003-12-25 Ali Shajii Apparatus and method for dual processor mass flow controller
US20030234045A1 (en) * 2002-06-24 2003-12-25 Ali Shajii Apparatus and method for mass flow controller with on-line diagnostics
US7809473B2 (en) 2002-06-24 2010-10-05 Mks Instruments, Inc. Apparatus and method for pressure fluctuation insensitive mass flow control
KR101006537B1 (ko) * 2002-06-24 2011-01-07 엠케이에스 인스트루먼츠 인코포레이티드 압력변동에 영향을 받지 않는 질량 유량 제어장치
GB2419421B8 (en) * 2002-06-24 2008-09-03 Mks Instr Inc Gas flow standard
US6948508B2 (en) * 2002-06-24 2005-09-27 Mks Instruments, Inc. Apparatus and method for self-calibration of mass flow controller
KR20050031109A (ko) * 2002-07-19 2005-04-01 셀레리티 그룹 아이엔씨 질량 유량 제어기 내의 압력 보상을 위한 방법 및 장치
CN100344941C (zh) 2002-07-19 2007-10-24 迅捷公司 具有公共参考臂的可变电阻传感器
US6789558B2 (en) 2002-09-04 2004-09-14 Hr Textron, Inc. Digitally controlled direct drive valve and system and method for manufacturing the same
US7534363B2 (en) * 2002-12-13 2009-05-19 Lam Research Corporation Method for providing uniform removal of organic material
US20040112540A1 (en) * 2002-12-13 2004-06-17 Lam Research Corporation Uniform etch system
US7169231B2 (en) * 2002-12-13 2007-01-30 Lam Research Corporation Gas distribution system with tuning gas
US20050000570A1 (en) * 2003-01-17 2005-01-06 Mohammed Balarabe Nuhu Combination manual/pneumatic shut-off valve
US6868869B2 (en) * 2003-02-19 2005-03-22 Advanced Technology Materials, Inc. Sub-atmospheric pressure delivery of liquids, solids and low vapor pressure gases
US6843139B2 (en) * 2003-03-12 2005-01-18 Rosemount Inc. Flow instrument with multisensors
US7381650B2 (en) * 2003-04-07 2008-06-03 Unaxis Usa Inc. Method and apparatus for process control in time division multiplexed (TDM) etch processes
US7115520B2 (en) * 2003-04-07 2006-10-03 Unaxis Usa, Inc. Method and apparatus for process control in time division multiplexed (TDM) etch process
EP1616162A1 (en) * 2003-04-22 2006-01-18 Honeywell International Inc. Apparatus to measure differential pressure with settable pressure reference
US6955072B2 (en) 2003-06-25 2005-10-18 Mks Instruments, Inc. System and method for in-situ flow verification and calibration
JP3872776B2 (ja) * 2003-07-16 2007-01-24 東京エレクトロン株式会社 半導体製造装置及び半導体製造方法
US6909839B2 (en) * 2003-07-23 2005-06-21 Advanced Technology Materials, Inc. Delivery systems for efficient vaporization of precursor source material
JP2005079141A (ja) * 2003-08-28 2005-03-24 Asm Japan Kk プラズマcvd装置
US7137400B2 (en) * 2003-09-30 2006-11-21 Agere Systems Inc. Bypass loop gas flow calibration
JP4399227B2 (ja) * 2003-10-06 2010-01-13 株式会社フジキン チャンバの内圧制御装置及び内圧被制御式チャンバ
US20050095859A1 (en) * 2003-11-03 2005-05-05 Applied Materials, Inc. Precursor delivery system with rate control
NO322167B1 (no) * 2003-11-05 2006-08-21 Abb As Fremgangsmåte og anordning for å detektere vanngjennombrudd ved brønnproduksjon av olje og gass, samt anvendelse av fremgangsmåten i en olje- og gass-produksjonsprosess
US20050120805A1 (en) * 2003-12-04 2005-06-09 John Lane Method and apparatus for substrate temperature control
US7437944B2 (en) * 2003-12-04 2008-10-21 Applied Materials, Inc. Method and apparatus for pressure and mix ratio control
US7740024B2 (en) * 2004-02-12 2010-06-22 Entegris, Inc. System and method for flow monitoring and control
US6973375B2 (en) * 2004-02-12 2005-12-06 Mykrolis Corporation System and method for flow monitoring and control
US7628861B2 (en) * 2004-12-17 2009-12-08 Mks Instruments, Inc. Pulsed mass flow delivery system and method
US7628860B2 (en) * 2004-04-12 2009-12-08 Mks Instruments, Inc. Pulsed mass flow delivery system and method
KR100859653B1 (ko) * 2004-05-25 2008-09-23 코비디엔 아게 유동 제어 장치용 유동 감시 시스템
US20060000509A1 (en) * 2004-07-01 2006-01-05 Pozniak Peter M Fluid flow control device and system
US7216019B2 (en) * 2004-07-08 2007-05-08 Celerity, Inc. Method and system for a mass flow controller with reduced pressure sensitivity
WO2006017116A2 (en) * 2004-07-09 2006-02-16 Celerity, Inc. Method and system for flow measurement and validation of a mass flow controller
US7412986B2 (en) * 2004-07-09 2008-08-19 Celerity, Inc. Method and system for flow measurement and validation of a mass flow controller
US8435351B2 (en) * 2004-11-29 2013-05-07 Tokyo Electron Limited Method and system for measuring a flow rate in a solid precursor delivery system
US7150201B2 (en) * 2004-12-15 2006-12-19 Celerity, Inc. System and method for measuring flow
US7225085B2 (en) * 2005-02-25 2007-05-29 The Regents Of The University Of California Precision gas flow meter
US7376520B2 (en) * 2005-03-16 2008-05-20 Lam Research Corporation System and method for gas flow verification
US7237535B2 (en) * 2005-04-11 2007-07-03 Honeywell International Inc. Enhanced accuracy fuel metering system and method
US20070021935A1 (en) * 2005-07-12 2007-01-25 Larson Dean J Methods for verifying gas flow rates from a gas supply system into a plasma processing chamber
US7971604B2 (en) 2006-04-20 2011-07-05 Hitachi Metals, Ltd. Flow controller delivery of a specified-quantity of a fluid
WO2007142850A2 (en) * 2006-06-02 2007-12-13 Applied Materials Gas flow control by differential pressure measurements
US7932181B2 (en) * 2006-06-20 2011-04-26 Lam Research Corporation Edge gas injection for critical dimension uniformity improvement
JP2008039513A (ja) * 2006-08-03 2008-02-21 Hitachi Metals Ltd 質量流量制御装置の流量制御補正方法
US7743670B2 (en) * 2006-08-14 2010-06-29 Applied Materials, Inc. Method and apparatus for gas flow measurement
US8074677B2 (en) 2007-02-26 2011-12-13 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
US7775236B2 (en) * 2007-02-26 2010-08-17 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
US7846497B2 (en) * 2007-02-26 2010-12-07 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
US7651263B2 (en) * 2007-03-01 2010-01-26 Advanced Energy Industries, Inc. Method and apparatus for measuring the temperature of a gas in a mass flow controller
US7706995B2 (en) * 2007-04-16 2010-04-27 Mks Instr Inc Capacitance manometers and methods relating to auto-drift correction
DE102008011111A1 (de) * 2007-06-29 2009-01-02 Robert Bosch Gmbh Verfahren und Vorrichtung zum Abgleich einer Regeleinrichtung
US7937987B2 (en) * 2007-09-28 2011-05-10 Circor Instrumentation Technologies, Inc. Filter monitor-flow meter combination sensor
US7866345B2 (en) * 2007-09-28 2011-01-11 Circor Instrumentation Technologies, Inc. Non-clogging flow restriction for pressure based flow control devices
JP5054500B2 (ja) * 2007-12-11 2012-10-24 株式会社フジキン 圧力制御式流量基準器
US7823436B2 (en) * 2008-01-18 2010-11-02 Pivotal Systems Corporation Method and apparatus for in situ testing of gas flow controllers
US8050828B2 (en) * 2008-03-31 2011-11-01 GM Global Technology Operations LLC Transmission oil measurement system and method
NL2002365C2 (en) * 2008-05-26 2011-04-05 Avantium Holding B V Flow splitter and reaction assembly.
US7905139B2 (en) * 2008-08-25 2011-03-15 Brooks Instrument, Llc Mass flow controller with improved dynamic
US7826986B2 (en) * 2008-09-26 2010-11-02 Advanced Energy Industries, Inc. Method and system for operating a mass flow controller
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
TWI435196B (zh) 2009-10-15 2014-04-21 Pivotal Systems Corp 氣體流量控制方法及裝置
US8271211B2 (en) * 2009-12-09 2012-09-18 Pivotal Systems Corporation Method and apparatus for enhancing in-situ gas flow measurement performance
US8271210B2 (en) * 2009-12-09 2012-09-18 Pivotal Systems Corporation Method and apparatus for enhancing in-situ gas flow measurement performance
US8265888B2 (en) * 2009-12-09 2012-09-11 Pivotal Systems Corporation Method and apparatus for enhancing in-situ gas flow measurement performance
JP5361847B2 (ja) * 2010-02-26 2013-12-04 東京エレクトロン株式会社 基板処理方法、この基板処理方法を実行させるためのプログラムを記録した記録媒体及び基板処理装置
EP2366448B1 (en) * 2010-03-16 2016-07-27 Amminex Emissions Technology A/S Method and device for controlled dosing of a gas with fluctuating supply pressure
US20110232588A1 (en) * 2010-03-26 2011-09-29 Msp Corporation Integrated system for vapor generation and thin film deposition
JP5562712B2 (ja) * 2010-04-30 2014-07-30 東京エレクトロン株式会社 半導体製造装置用のガス供給装置
US8758515B2 (en) 2010-08-09 2014-06-24 Rohm And Haas Electronic Materials Llc Delivery device and method of use thereof
US8997686B2 (en) * 2010-09-29 2015-04-07 Mks Instruments, Inc. System for and method of fast pulse gas delivery
US9348339B2 (en) 2010-09-29 2016-05-24 Mks Instruments, Inc. Method and apparatus for multiple-channel pulse gas delivery system
US9400004B2 (en) 2010-11-29 2016-07-26 Pivotal Systems Corporation Transient measurements of mass flow controllers
US10353408B2 (en) 2011-02-25 2019-07-16 Mks Instruments, Inc. System for and method of fast pulse gas delivery
US10031531B2 (en) 2011-02-25 2018-07-24 Mks Instruments, Inc. System for and method of multiple channel fast pulse gas delivery
US10126760B2 (en) 2011-02-25 2018-11-13 Mks Instruments, Inc. System for and method of fast pulse gas delivery
WO2012153454A1 (ja) * 2011-05-10 2012-11-15 株式会社フジキン 流量モニタ付圧力式流量制御装置と、これを用いた流体供給系の異常検出方法並びにモニタ流量異常時の処置方法
US8776821B2 (en) 2011-05-24 2014-07-15 Rohm And Haas Electronic Materials Llc Vapor delivery device, methods of manufacture and methods of use thereof
US8997775B2 (en) 2011-05-24 2015-04-07 Rohm And Haas Electronic Materials Llc Vapor delivery device, methods of manufacture and methods of use thereof
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
JP5755958B2 (ja) 2011-07-08 2015-07-29 株式会社フジキン 半導体製造装置の原料ガス供給装置
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP5739261B2 (ja) * 2011-07-28 2015-06-24 株式会社堀場エステック ガス供給システム
US20160041564A1 (en) * 2012-08-20 2016-02-11 Daniel T. Mudd Reverse flow mode for regulating pressure of an accumulated volume with fast upstream bleed down
US9958302B2 (en) 2011-08-20 2018-05-01 Reno Technologies, Inc. Flow control system, method, and apparatus
US9188989B1 (en) 2011-08-20 2015-11-17 Daniel T. Mudd Flow node to deliver process gas using a remote pressure measurement device
WO2013032801A1 (en) 2011-09-02 2013-03-07 First Solar, Inc. Feeder system and method for a vapor transport deposition system
JP5647083B2 (ja) 2011-09-06 2014-12-24 株式会社フジキン 原料濃度検出機構を備えた原料気化供給装置
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP5754853B2 (ja) * 2012-01-30 2015-07-29 株式会社フジキン 半導体製造装置のガス分流供給装置
JP5881467B2 (ja) * 2012-02-29 2016-03-09 株式会社フジキン ガス分流供給装置及びこれを用いたガス分流供給方法
ES2845173T3 (es) * 2012-05-24 2021-07-26 Air Prod & Chem Procedimiento y aparato para regular el caudal másico de un gas
JP5868796B2 (ja) * 2012-07-03 2016-02-24 株式会社堀場エステック 圧力制御装置、流量制御装置、及び、圧力制御装置用プログラム、流量制御装置用プログラム
US9243325B2 (en) 2012-07-18 2016-01-26 Rohm And Haas Electronic Materials Llc Vapor delivery device, methods of manufacture and methods of use thereof
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
DE102013105993A1 (de) * 2012-12-14 2014-07-03 Endress + Hauser Flowtec Ag Thermische Durchflussmessvorrichtung und Verfahren zur Korrektur eines Durchflusses eines Mediums
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9562820B2 (en) 2013-02-28 2017-02-07 Mks Instruments, Inc. Pressure sensor with real time health monitoring and compensation
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9910448B2 (en) 2013-03-14 2018-03-06 Christopher Max Horwitz Pressure-based gas flow controller with dynamic self-calibration
US9454158B2 (en) 2013-03-15 2016-09-27 Bhushan Somani Real time diagnostics for flow controller systems and methods
US9746359B2 (en) * 2013-06-28 2017-08-29 Vyaire Medical Capital Llc Flow sensor
TWI472722B (zh) * 2013-08-07 2015-02-11 China Steel Corp A method of calculating the flow on a pipe
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
EP3049803A4 (en) * 2013-09-27 2017-05-17 PerkinElmer Health Sciences, Inc. Manifolds and methods of using them to control fluid flows
CN103697214B (zh) * 2013-12-23 2017-02-08 沃尔达环境技术江苏股份有限公司 一种智慧阀门及其控制方法
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
JP6415889B2 (ja) * 2014-08-01 2018-10-31 株式会社堀場エステック 流量制御装置、流量制御装置用プログラム、及び、流量制御方法
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10401202B2 (en) 2015-07-10 2019-09-03 Pivotal Systems Corporation Method and apparatus for gas flow control
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10494200B2 (en) 2016-04-25 2019-12-03 Chevron Phillips Chemical Company Lp Measurement of product pellets flow rate
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10838437B2 (en) 2018-02-22 2020-11-17 Ichor Systems, Inc. Apparatus for splitting flow of process gas and method of operating same
US10303189B2 (en) 2016-06-30 2019-05-28 Reno Technologies, Inc. Flow control system, method, and apparatus
US11144075B2 (en) 2016-06-30 2021-10-12 Ichor Systems, Inc. Flow control system, method, and apparatus
US10679880B2 (en) 2016-09-27 2020-06-09 Ichor Systems, Inc. Method of achieving improved transient response in apparatus for controlling flow and system for accomplishing same
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
DE102016013958B4 (de) 2016-11-23 2019-08-08 Drägerwerk AG & Co. KGaA Vorrichtung mit einer Pumpeinrichtung zu einer Überprüfung einer Funktionsbereitschaft eines Gasführungselementes eines Gasmesssystems
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
MX2019006808A (es) * 2016-12-12 2019-11-18 Ventbusters Inc Medidor de gas y metodos asociados.
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10031004B2 (en) 2016-12-15 2018-07-24 Mks Instruments, Inc. Methods and apparatus for wide range mass flow verification
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10663337B2 (en) 2016-12-30 2020-05-26 Ichor Systems, Inc. Apparatus for controlling flow and method of calibrating same
US10409295B2 (en) 2016-12-31 2019-09-10 Applied Materials, Inc. Methods and apparatus for enhanced flow detection repeatability of thermal-based mass flow controllers (MFCS)
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10983538B2 (en) 2017-02-27 2021-04-20 Flow Devices And Systems Inc. Systems and methods for flow sensor back pressure adjustment for mass flow controller
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
DE102017004727A1 (de) * 2017-05-17 2018-11-22 Drägerwerk AG & Co. KGaA Verfahren zur Kalibrierung eines Gassensors
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
WO2020005149A2 (en) * 2018-06-29 2020-01-02 Provtagaren Ab Method for digital flow measurement in pulsating flows
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
EP3857103A4 (en) 2018-09-28 2022-07-13 Emerson Automation Solutions Final Control US LP PILOT CONTROLLED RELIEF VALVE ASSEMBLY
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11675374B2 (en) 2018-10-26 2023-06-13 Illinois Tool Works Inc. Mass flow controller with advanced zero trending diagnostics
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
JP2020139864A (ja) * 2019-02-28 2020-09-03 株式会社堀場エステック 流量算出システム、流量算出システム用プログラム、流量算出方法、及び、流量算出装置
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
WO2020218138A1 (ja) * 2019-04-25 2020-10-29 株式会社フジキン 流量制御装置
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11073845B2 (en) * 2019-08-26 2021-07-27 Hitachi Metals, Ltd. Parasitic flow correction method and apparatus
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
US11789472B2 (en) * 2020-01-21 2023-10-17 Horiba Stec, Co., Ltd. Gas delivery system with electrical backplane
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
US11585272B2 (en) 2020-06-25 2023-02-21 Pratt & Whitney Canada Corp. System and method for detection of excessive flow in a fluid system
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN112806341B (zh) * 2021-02-25 2023-05-09 吉林大学 一种基于层流层的果园对靶喷施控制系统和方法
US11899477B2 (en) 2021-03-03 2024-02-13 Ichor Systems, Inc. Fluid flow control system comprising a manifold assembly
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
EP4384780A1 (en) * 2021-08-13 2024-06-19 TSI Incorporated Differential pressure liquid flow controller
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1097834A (en) * 1913-11-05 1914-05-26 Gustavus W Anderson Gas-meter-testing device.
US2015839A (en) * 1928-12-19 1935-10-01 Brown Instr Co Compensated flow meter
US3958443A (en) * 1974-06-17 1976-05-25 Air Products And Chemicals, Inc. Apparatus for proving and calibrating cryogenic flow meters
US4253156A (en) * 1979-06-22 1981-02-24 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Automatic flowmeter calibration system
US4285245A (en) * 1979-12-06 1981-08-25 Precision Machine Products, Inc. Method and apparatus for measuring and controlling volumetric flow rate of gases in a line
US4718443A (en) * 1987-02-06 1988-01-12 Conoco Inc. Mass flowmeter apparatus
GB8720356D0 (en) * 1987-08-28 1987-10-07 Thorn Emi Flow Measurement Ltd Fluid meter
JPH03156509A (ja) * 1989-11-14 1991-07-04 Stec Kk マスフローコントローラ
US5072416A (en) * 1990-01-16 1991-12-10 Calibron Systems, Inc. Method and apparatus for calibrating a flowmeter using a master meter and a prover
US5220517A (en) * 1990-08-31 1993-06-15 Sci Systems, Inc. Process gas distribution system and method with supervisory control
GB2263776B (en) * 1992-01-28 1995-05-17 Endress & Hauser Ltd Fluid mass flowmeter
JP2692770B2 (ja) * 1992-09-30 1997-12-17 シーケーディ株式会社 マスフローコントローラ流量検定システム
US5307668A (en) * 1992-10-05 1994-05-03 Badger Meter, Inc. Gas density meter and method
US5410495A (en) * 1993-07-20 1995-04-25 Texas Instruments Incorporated Apparatus, systems, and methods for diagnosing anomalous mass flow controller operation
DE4441874A1 (de) * 1994-11-24 1996-05-30 Bosch Gmbh Robert Vorrichtung zur Messung der Masse eines strömenden Mediums
US5524084A (en) * 1994-12-30 1996-06-04 Hewlett-Packard Company Method and apparatus for improved flow and pressure measurement and control
US5641915A (en) * 1995-02-03 1997-06-24 Lockheed Idaho Technologies Company Device and method for measuring multi-phase fluid flow in a conduit using an elbow flow meter
TW335448B (en) * 1996-03-11 1998-07-01 Applied Materials Inc Gas flow control method
JP3580645B2 (ja) * 1996-08-12 2004-10-27 忠弘 大見 圧力式流量制御装置
US5744695A (en) * 1997-01-10 1998-04-28 Sony Corporation Apparatus to check calibration of mass flow controllers
US5968588A (en) * 1997-03-17 1999-10-19 Applied Materials, Inc. In-situ liquid flow rate estimation and verification by sonic flow method
US5865205A (en) * 1997-04-17 1999-02-02 Applied Materials, Inc. Dynamic gas flow controller

Cited By (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100444310C (zh) * 2005-12-07 2008-12-17 北京北方微电子基地设备工艺研究中心有限责任公司 一种质量流量控制器在线校验的方法
CN101187660B (zh) * 2006-07-18 2012-01-11 中国石油天然气集团公司 双槽式孔板型混输计量装置
CN103201611A (zh) * 2010-10-29 2013-07-10 贝克顿·迪金森公司 用于流动型颗粒分析仪的双反馈真空流动技术
CN103201611B (zh) * 2010-10-29 2015-03-11 贝克顿·迪金森公司 用于流动型颗粒分析仪的双反馈真空流动技术
CN104179601A (zh) * 2013-05-23 2014-12-03 罗伯特·博世有限公司 用于确定在内燃机的高压-废气再循环系统中的质量流量的方法和控制单元
CN104179601B (zh) * 2013-05-23 2019-10-01 罗伯特·博世有限公司 用于确定在内燃机的高压-废气再循环系统中的质量流量的方法和控制单元
CN104416256A (zh) * 2013-08-23 2015-03-18 珠海格力电器股份有限公司 钎焊充氮智能监控设备
CN108139760A (zh) * 2015-10-28 2018-06-08 株式会社富士金 流量信号补正方法以及使用其的流量控制装置
CN105424272A (zh) * 2015-12-28 2016-03-23 黄山迈普汽车部件有限公司 一种适用于高原的真空度传感器
CN109477752A (zh) * 2016-06-27 2019-03-15 应用材料公司 用于基于扼流的质量流验证的方法、系统和设备
CN108088883B (zh) * 2016-11-23 2020-09-29 德尔格制造股份两合公司 用于检查气体引导元件的检测装置
CN108088883A (zh) * 2016-11-23 2018-05-29 德尔格制造股份两合公司 用于检查气体引导元件的检测装置
US10648963B2 (en) 2016-11-23 2020-05-12 Drägerwerk AG & Co. KGaA Testing device for testing a gas guide element
CN108227763A (zh) * 2016-12-15 2018-06-29 株式会社堀场Stec 流量控制装置和程序存储介质
CN108227764A (zh) * 2016-12-15 2018-06-29 塞莫费雪科学(不来梅)有限公司 改进的气体流量控制
CN110382103A (zh) * 2017-05-11 2019-10-25 株式会社堀场Stec 液体材料汽化供给装置和控制程序
CN110045755A (zh) * 2017-12-27 2019-07-23 株式会社堀场Stec 校准数据制作装置、校准数据制作方法和流量控制装置
CN110045755B (zh) * 2017-12-27 2024-04-16 株式会社堀场Stec 校准数据制作装置及方法、存储介质和流量控制装置
CN113574204A (zh) * 2019-04-05 2021-10-29 万机仪器公司 用于脉冲气体输送的方法和设备
CN110081944A (zh) * 2019-06-05 2019-08-02 浙江埃泰克环境科技有限公司 一种基于实时压力变化的气体测量方法及所用装置

Also Published As

Publication number Publication date
US6119710A (en) 2000-09-19
WO2000073868A1 (en) 2000-12-07
CA2366580A1 (en) 2000-12-07
GB0123880D0 (en) 2001-11-28
GB2365980B (en) 2003-03-26
KR20020000867A (ko) 2002-01-05
GB2365980A (en) 2002-02-27
JP2003501637A (ja) 2003-01-14
SG102683A1 (en) 2004-03-26
US6216726B1 (en) 2001-04-17
GB0122961D0 (en) 2001-11-14
TW468101B (en) 2001-12-11
AU2039600A (en) 2000-12-18

Similar Documents

Publication Publication Date Title
CN1350668A (zh) 带有实时流量测量和修正的宽范围气流系统
CN1087422C (zh) 用于科里奥利效应质量流量计中的故障检测和校正的方法和装置
CN1302349C (zh) 质量流量计系统及方法
CN1795369A (zh) 流量传感器信号转换
CN1613037A (zh) 流测量模块和方法
US7255012B2 (en) Process fluid flow device with variable orifice
CN1501440A (zh) 可在连接状态下进行质量流控制器检查的半导体制造装置
CN1190654C (zh) 气体泄漏探测系统
CN1018572B (zh) 具有测量流量功能的蝶形阀和用它测量流量的方法
CN1455865A (zh) 利用超声波测定气体浓度和流量的装置及方法
CN1918575A (zh) 用于流动监测和控制的系统及方法
CN1097722C (zh) 用简化过程提供表示经差压发生器的流量信号的变送器
CN1213431A (zh) 分流型科里奥利效应流量计
CN1123894A (zh) 用于确定流体从管道中漏逸出来的位置的系统和方法
JPH10111152A (ja) 流量計及び質量流量制御装置
CN1179201C (zh) 用于科里奥利流量计的驱动控制的类型识别
CN1922469A (zh) 耐蚀金属制流体用传感器及用该传感器的流体供给设备
CN1225722A (zh) 振动管密度计
CN1701291B (zh) 高纯度科氏质量流量控制器
US20040112212A1 (en) Airflow feedback control method and apparatus for fan filter unit
DE50311592D1 (de) Vorrichtung zur positionierung eines clamp-on durchflussmessgeräts an einem behältnis
CN208138124U (zh) 一种可精确控制流量的计量泵控制系统
US7776275B2 (en) Chemical feeder system and method
CN107003682A (zh) 流量计的无线限流器
JP4685497B2 (ja) 焼結機の排気ガス流量測定システム

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication