JP2003501637A - リアルタイムの流量測定と修正が可能な広範囲ガス流動システム - Google Patents

リアルタイムの流量測定と修正が可能な広範囲ガス流動システム

Info

Publication number
JP2003501637A
JP2003501637A JP2001500922A JP2001500922A JP2003501637A JP 2003501637 A JP2003501637 A JP 2003501637A JP 2001500922 A JP2001500922 A JP 2001500922A JP 2001500922 A JP2001500922 A JP 2001500922A JP 2003501637 A JP2003501637 A JP 2003501637A
Authority
JP
Japan
Prior art keywords
flow rate
pressure
mass flow
gas
calibration volume
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2001500922A
Other languages
English (en)
Inventor
ティモシー・アール・ブラウン
ダニエル・アール・ジャド
Original Assignee
サイバー・インストゥルメンツ・テクノロジー・リミテッド・ライアビリティ・カンパニー
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=23239950&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=JP2003501637(A) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by サイバー・インストゥルメンツ・テクノロジー・リミテッド・ライアビリティ・カンパニー filed Critical サイバー・インストゥルメンツ・テクノロジー・リミテッド・ライアビリティ・カンパニー
Publication of JP2003501637A publication Critical patent/JP2003501637A/ja
Pending legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05DSYSTEMS FOR CONTROLLING OR REGULATING NON-ELECTRIC VARIABLES
    • G05D7/00Control of flow
    • G05D7/06Control of flow characterised by the use of electric means
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05DSYSTEMS FOR CONTROLLING OR REGULATING NON-ELECTRIC VARIABLES
    • G05D7/00Control of flow
    • G05D7/06Control of flow characterised by the use of electric means
    • G05D7/0617Control of flow characterised by the use of electric means specially adapted for fluid materials
    • G05D7/0629Control of flow characterised by the use of electric means specially adapted for fluid materials characterised by the type of regulator means
    • G05D7/0635Control of flow characterised by the use of electric means specially adapted for fluid materials characterised by the type of regulator means by action on throttling means
    • G05D7/0641Control of flow characterised by the use of electric means specially adapted for fluid materials characterised by the type of regulator means by action on throttling means using a plurality of throttling means
    • G05D7/0658Control of flow characterised by the use of electric means specially adapted for fluid materials characterised by the type of regulator means by action on throttling means using a plurality of throttling means the plurality of throttling means being arranged for the control of a single flow from a plurality of converging flows
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01FMEASURING VOLUME, VOLUME FLOW, MASS FLOW OR LIQUID LEVEL; METERING BY VOLUME
    • G01F1/00Measuring the volume flow or mass flow of fluid or fluent solid material wherein the fluid passes through a meter in a continuous flow
    • G01F1/05Measuring the volume flow or mass flow of fluid or fluent solid material wherein the fluid passes through a meter in a continuous flow by using mechanical effects
    • G01F1/34Measuring the volume flow or mass flow of fluid or fluent solid material wherein the fluid passes through a meter in a continuous flow by using mechanical effects by measuring pressure or differential pressure
    • G01F1/50Correcting or compensating means
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01FMEASURING VOLUME, VOLUME FLOW, MASS FLOW OR LIQUID LEVEL; METERING BY VOLUME
    • G01F1/00Measuring the volume flow or mass flow of fluid or fluent solid material wherein the fluid passes through a meter in a continuous flow
    • G01F1/76Devices for measuring mass flow of a fluid or a fluent solid material
    • G01F1/86Indirect mass flowmeters, e.g. measuring volume flow and density, temperature or pressure
    • G01F1/88Indirect mass flowmeters, e.g. measuring volume flow and density, temperature or pressure with differential-pressure measurement to determine the volume flow
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01FMEASURING VOLUME, VOLUME FLOW, MASS FLOW OR LIQUID LEVEL; METERING BY VOLUME
    • G01F15/00Details of, or accessories for, apparatus of groups G01F1/00 - G01F13/00 insofar as such details or appliances are not adapted to particular types of such apparatus
    • G01F15/02Compensating or correcting for variations in pressure, density or temperature
    • G01F15/04Compensating or correcting for variations in pressure, density or temperature of gases to be measured
    • G01F15/043Compensating or correcting for variations in pressure, density or temperature of gases to be measured using electrical means
    • G01F15/046Compensating or correcting for variations in pressure, density or temperature of gases to be measured using electrical means involving digital counting
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/0318Processes
    • Y10T137/0396Involving pressure control
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/7722Line condition change responsive valves
    • Y10T137/7758Pilot or servo controlled
    • Y10T137/7759Responsive to change in rate of fluid flow
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/7722Line condition change responsive valves
    • Y10T137/7758Pilot or servo controlled
    • Y10T137/7761Electrically actuated valve

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Fluid Mechanics (AREA)
  • Engineering & Computer Science (AREA)
  • Automation & Control Theory (AREA)
  • Measuring Volume Flow (AREA)
  • Flow Control (AREA)
  • Details Of Flowmeters (AREA)

Abstract

(57)【要約】 質量流量をリアルタイムで正確に測定し、オプションとして調整するガス供給システムを提供する。当該システムは、入口バルブにつながる流体導管と、較正ボリュームと、流動規制部と、出口バルブとが直列になって構成される。前記較正ボリュームには圧力と温度のセンサが接続される。差圧センサ、もしくは一対の差圧、絶対圧、あるいはゲージ圧センサが、前記流動規制部を跨いで取り付けられる。代替として、絶対圧力センサを前記流動規制部の上流に取り付けることもできる。

Description

【発明の詳細な説明】
【0001】 (技術分野) 本発明は、処理室へ極めて正確な量のガスを供給することが要求される製造工
程に関する。より具体的には、本発明は、処理室へガスを供給する間にガス流量
を正確に測定する改善されたガス流動システムに関する。追加される操作は、こ
れらの測定値にしたがってガス流動を調整することによって行い得る。
【0002】 (背景技術) 半導体製造などの多くの工業プロセスでは、「反応室」とも呼ばれる処理室へ
正確にガスを供給することに頼っている。これらの処理室は、あるときには非常
な高圧で、又あるときには非常な低圧でというように、広い圧力範囲で操業され
ている。この全体の製造工程を通して、ガス供給システムの正確さと安定性は極
めて重要である。これらのシステムの主たる目的は、指定された質量のガスを正
確に供給することにある。質量と体積との関係は一定せず、またその他の要因に
より、単なる体積流量制御装置は役立たない。
【0003】 歴史的には、処理ガスの流れを制御するため、技術者は熱質量流量制御機(th
ermal mass flow controller)を使用してきた。完全なガス供給システムで
は、これらの熱質量流量制御機は、各種フィルタ、圧力変換器、制御バルブと共
に存在している。これらの要素は、通常は鋼製のチューブと各種機械式カップリ
ングによって結合されている。よく見られる結合形態には、溶接、ろう付け、及
び各種の再使用可能な継手が含まれる。このような継手は、真空機密の機械式シ
ールを形成するため、圧縮された弾性体もしくは金属のシールを使用している。
【0004】 図1は、熱質量流量制御機100の例を示す。ガスはまずガス入口102に入
り、その後、流路103を通過する。入口102の後、ガスはバイパス規制部1
04の周囲に入る。バイパス規制部104の周囲で発生する圧力降下のため、一
定比率のガスが流路107の毛細管106に分離する。この毛細管106内には
多段加熱巻線105が巻きつけられている。巻線105は複数の端子を含み、図
示の例では3つの端子105a〜105cを含む。毛細管106を通過したガス
は主流のガス108と再び一緒になって混合流111を形成し、続いて制御バル
ブ112に向けて流れる。制御バルブ112は、バルブ渦巻き路、及び磁気物質
114とプランジャ116を含む。プランジャ116の位置は、質量流量制御機
を通過するガスの量を制御する。幅広のプランジャ・セッティングはより多くの
ガスを流し、より小さいプランジャ・セッティングはより少ないガスを流す。制
御電子機器122は、後述するように所望のガス流動が得られるようこのプラン
ジャの位置を制御する。制御バルブ112の後、ガスは最終的にガス出口120
で質量流量制御機100からの出口となる流路118に流れる。ガス出口120
は、更なる「下流」の配管(図示せず)を介して処理室につなげ得る。
【0005】 質量流量制御機100は、以下の原理で動作する。毛細管106を通過する流
体の質量(流れ107)は、バイパス規制部104の周囲の流れの量(流れ10
8)に直接比例しており、したがって装置を通過する総流量を代理する測定値を
提供する。すなわち、流れ107のガスの質量に一定値を掛けると流れ108の
質量となる。ガス流れ107と108の合計がガス流れ103となる。質量流量
制御機100は、前記毛細管、バイパス流路、制御バルブの相対サイズと形状を
考慮して、特定の流量に対応して製造することができる。
【0006】 質量流量(mass flow)測定の一つの方法によれば、加熱巻線105を通して
端子105aから端子105cへ向けて電流が流される。加熱巻線105の抵抗
は、既知のように温度によって変化する。このように、巻線105がホィートス
トン・ブリッジとして作動すれば、端子105bを測定点として使用することが
できる。ガスが毛細管106を通過すると、ガスの熱容量が熱を巻線の第1の部
分(端子105aと105bとの間)から第2の部分(端子105bと105c
との間)へ移動させる。質量流量の大きさが熱移動の大きさを決定し、これは巻
線105a〜105bと巻線105b〜105cとの間の電圧不均衡に直接比例
している。この電圧不均衡が、毛細管106を流れる質量の大きさを示す。毛細
管106を通過する質量が把握できることから、上述のように流れ103の質量
の総量を容易に計算することができる。
【0007】 この測定原理の各種異なる態様も使用されている。例えば、1つの加熱巻線と
2つの温度測定装置が流れによる熱移動の測定に使用され得る。他の方法では、
毛細管に沿った流れによって生ずる温度低下を一定値に維持するために、加熱巻
線の1つもしくは双方を通して変動する量の電流を流してもよい。
【0008】 動作時には、制御電子機器122は、閉鎖ループ・フィードバック・システム
によりプランジャの位置を制御する。すなわち、電子機器122は、検出された
質量流量(毛細管106で測定される)を所望の質量流量(入力として提供され
る)と比較する。その後、この比較結果に基づいて電子機器122はプランジャ
116の位置を狭くしたり広くしたりする。
【0009】 質量流量制御機は、ガス供給システムの最も重要な部分の一つである。残念な
がらしかし、既知の質量流量制御機は、ガス供給システムの最も信頼性のない部
分の1つでもある。質量流量制御機は、多くの異なった形状の毛細管、巻線、バ
イパス規制部、制御バルブを備えたものが製造されてきた。しかしながら、幾つ
かの異なる要因が、質量流量の較正(calibration)、及び性能に対して好まし
くない変化を与えている。もし流体や他の混入物がバイパス規制部周りの領域に
形成されると、流れ107と流れ103との間の関係がばらつき、その装置全体
の較正が変化する。バイパスの流路やその他の流路における凝結の形成もまた他
の較正エラーの原因である。巻線の劣化や、巻線と毛細管外部との間の熱的接触
の性質も、長期に亘った較正の変動の要因となる。加熱巻線に接触する処理ガス
の化学組成の変化も、この工程の完全性に影響を与え得る。
【0010】 他の流量調整システムが、Kennedy氏に付与された米国特許第4,285,245号に記
述されている。Kennedy氏は、一定量の測定室内の圧力降下を測定し、この測定
された圧力降下を、降下した時間で割ることによって圧力降下率を算出した。こ
の算出された降下率は、体積流量に直接関連している。このKennedyシステムは
その意図した目的には有用かも知れないが、質量流量を正確に制御することを求
める適用には不適当である。特に、ガスの質量と体積との関係は絶対圧力や温度
などの要因の影響で変化するため、ガスの質量はその体積と常には比例していな
い。また、本願発明者の調査によれば、Kennedyシステムでは連続的な、あるい
はリアルタイムの測定と流動制御手段を備えていないため、僅かな質量流量の増
加変動が起こってもこれを検出できないことがある。このように、Kennedy氏の
手法は、質量流量を正確に制御することを求める適用には満足なものとはいえな
い。
【0011】 半導体の製造ラインでは、処理ガスの誤った供給は非常なコスト増となり得る
。あるケースでは、処理ガスが処理室内のシリコン・ウエハに正確に供給されな
いと、そのウエハは不良品となり得る。経済性からはシリコン・インゴットは常
に大きくなる方向にあることから、もし不良品になればこれらの大きなシリコン
・ウエハを廃棄するのは大損害となる。さらには、このような誤りが発生すると
、その質量流量制御機は修理もしくは入れ替えが必要となり、製造工程を繰り返
す費用も発生する。多くの場合、製造停止は1時間当たりの売上げで125,000ド
ルを越える損失となり得る。
【0012】 以上の限界を考慮すると、従来の質量流量制御機には解決されていない問題点
があるため、ある種の適用においては完全に適切であるとは言えない。
【0013】 (発明の開示) 一般に、本発明は、ガスを処理室へ供給する間、ガス流動を正確に測定する改
善されたガス流動システムに関する。追加されるステップは、前記流れの測定値
に従ってガス流動を正確に調整することによって達成される。この質量流量シス
テムは、入口バルブにつながる流体導管と、較正ボリューム(calibration vol
ume)と、流動規制部(flow restrictor)と、流動制御バルブとが直列に配置
されて含まれる。圧力及び温度測定装置が、前記較正ボリュームに接続されてい
る。質量流量センサもまた、較正ボリュームの下流側に配置されている。1つの
例では、この下流の質量流量センサは、2つの圧力変換器を含む浮動参照差圧セ
ンサ(floating reference differential pressure sensor)を含む。第1
の変換器は前記流動規制部の上流で前記導管に取り付けられ、第2の変換器は前
記流動規制部の下流で前記導管に取り付けられている。各変換器は、参照源から
の参照圧力を受け取り、その参照圧力に対する圧力を測定する。
【0014】 操業時には、前記質量流量システムは、所望の質量流量を、分当たり標準立法
センチメートル(sccm)などの適当な単位で表した「目標質量流量」もしくは「
セット・ポイント」の顧客仕様を受け取る。このセット・ポイントを受け取った
後、もしくは受け取る前、前記較正ボリュームが充填され、前記浮動参照差圧変
換器を較正するために前記参照圧力が調整される。次に、ガス流動が開始される
。このガス流動の間、前記較正ボリュームにおける絶対圧力測定値、及び流動規
制部を跨いだ差圧測定値を含む測定値が繰り返し把握され、これらの測定値は「
測定質量流量」を定めるために使用される。もしガス流動の制御を望むなら、測
定された流量が前記目標質量流量に達するまで、適切な制御バルブを用いてガス
流動が反復して調整される。前記較正ボリュームの前記温度/圧力測定装置を使
用して、実際の質量流量と測定質量流量の間の不一致を見出すため、実際の質量
流量の計算が繰り返し行われる。不一致が発見されると、質量流量を計算する方
法がその不一致を埋め合わせるために「進行中」に修正される。もしガス流動制
御が求められると、測定質量流量(修正された)が前記目標質量流量に合致する
まで質量流量が調整される。
【0015】 他の下流の質量流量センサの実施の形態も開示されている。これらには、熱質
量流量センサ、前記流動規制部と平行に配置された単一ダイアフラム式圧力変換
器、もしくは前記流動規制部の上流に配置された単一圧力測定装置などがある。
【0016】 したがって、一つの実施の形態では、本発明はガス供給質量流量測定システム
を操作する方法を提供するために実施され得る。他の実施の形態では、本発明は
、ガス供給質量流量測定システムなどの装置を提供するために実施され得る。更
に他の実施の形態では、本発明は、ガス供給質量流量システムを操作する動作を
実行するためにデジタルデータ処理装置によって実行できる機械読取り可能なプ
ログラムを具体的に実行させる信号保持媒体を提供するために実施され得る。他
の実施の形態は、ガス供給質量流量システムを動作させるための操作を実行する
よう構成された複数の相互接続された導電要素を備える論理回路に関する。
【0017】 本発明は、多くの顕著な利益をユーザに提供する。主に、本発明は、より正確
なガス流動を測定(及びオプションとして調整)するために、ガス供給の間に個
別に複数の質量流量測定値を得てこれらの測定値を比較することによって正確な
処理ガスの提供を保証する。「リアルタイム」に実際のガス供給の間に繰り返し
ガス流動を測定してこれらの測定値を修正することから、本発明に係る質量流量
はより正確である。一つの実施の形態では、本発明は、浮動参照差圧変換器を使
用してさらに正確にガス流量を測定するように実施が可能である。従来技術のも
のとは異なり、これらの浮動参照差圧変換器はより広い測定範囲とより高い分解
能を提供する。他の利点としては、前記浮動参照圧力変換器は、変換器の繊細な
背面が注意深く制御された圧力の安全なガスを含む参照導管に結合されて分離さ
れているので、腐食性の処理ガスに対しても使用することができる。
【0018】 本発明は広範な適用ができる点でも有利である。半導体製造への適用に加え、
本発明は、窓、金属、その他の材料にコーティングするために正確にガス供給す
る際に使用が可能である。本発明は更に、この他にも多くの特性と利点を提供可
能であり、これらは以下の本発明の詳述により明らかである。
【0019】 (発明を実施するための最良の形態) 当該技術分野の知識を有する者にとって、以下の詳細な説明と添付図面を考慮
することにより、本発明の性質、目標、利点はより明らかとなる。上述の通り、
本発明は、処理室に処理ガスを供給する間に質量流量を正確に測定し、その測定
値を修正し、オプションとしてガス流動を調整する改善されたガス供給質量流量
システムに関する。このような測定は、下流の流動規制部にある1つもしくは複
数のセンサと、較正ボリュームにある上流のセンサとの協働によりなされる。
【0020】 2段階ガス流動分析技術 上述した毛細管を使用する熱ガス流動制御機と異なり、他の供給システムが1
999年2月2日にWilmer氏に付与された米国特許第5,865,205号に示されてい
る。Wilmer氏は、工程の「処方」開始時にガスで満たされた既知容量のリザーバ
を使用する。そのリザーバ中にあるガスの当初質量を特定するため、前記リザー
バ内のガスの温度と圧力が測定される。リザーバから処理室へのガスの流れは、
自己較正とも呼ばれる動的流動回路の制御の下で可変流動制御により測定される
。処理室へのガスの流れが終了すると、そのリザーバ内に最終的に残ったガスの
質量を特定するため、前記リザーバ内のガスの温度と圧力が再び測定される。前
記当初のガス質量と最終のガス質量とが比較され、前記処方ステップの間にリザ
ーバから放出された実際のガス質量が特定される。この値は、システム較正定数
を更新するために較正サーボ・ループへの入力として使用される。
【0021】 このことから、Wilmer氏は2段階のガス供給工程を使用しており、それは(1
)ガスはまずリザーバから処理室へ供給され、(2)ガス流動が終了した後にリ
ザーバ内の供給後のガスの温度と圧力が測定される。前記供給後の測定値は、「
ラインの外で」システムを較正する支援に使用されており、したがって「リアル
タイム」ではない。
【0022】 Wilmer氏は毛細管とこれに関連する制約を巧みに取り除いているが、本願発明
者らは更なる改善の余地はないかとの観点でWilmer氏のアプローチを分析した。
その結果、本願発明者らは、流れの測定値そのものではなくセット・ポイントを
修正するというWilmer氏のアプローチでは、実際の流れの信号もしくは値を得る
ための二次的な監視装置もしくはシステムは実現できないという、他の観点では
認識できないような制約を見出した。
【0023】 他の制約としては、Wilmer氏の流動制御の方法は、ガスがオリフィスを音速で
通過する必要があり、したがって、溶解変化、化学的分離、その他の材料特性へ
の好ましくない影響のためこれに晒されることによって逆効果を生ずるような処
理材料の供給への適用の可能性が排除されている。
【0024】 Wilmer氏のアプローチの他の制約は、ガス流動制御機の較正は工程終了後にラ
イン外でのみ可能であることから、ガス流動制御機の較正が滅多にできないこと
である。即ち、Wilmer氏は、工程進行の間にどれだけのガスが実際に流れたかを
特定するため、工程の終了後にリザーバ内に残ったガスの圧力と温度を測定する
。最終段階での流れの測定値の精度をチェックすることは有用ではあるが、この
技術では工程処理ごとに一度の較正に限定されてしまう。より頻繁な較正が必要
となる適用に対しては、Wilmer氏のアプローチは適切とは言えない。さらには、
Wilmer氏のアプローチは、供給後の較正が問題含みである単一のガス供給工程に
対しては全く不適当である。
【0025】 ハードウェア要素と相互接続システム・アーキテクチャ 導入 本発明の1つの態様は、図2のシステム200に示すような、各種ハードウェ
ア要素と相互接続により実施可能なガス流動システムに関する。このシステム2
00は、流路214と、電子モジュール213と、処理室215と、流出口25
2とを含む。一般に、流路214は、処理室215で行われる半導体製造、コー
ティング、その他の工程に使用するため、ユーザが選択した質量流量で処理ガス
を処理室215へ正確に導く。その他の時間には、流路214は、流路214の
要素からガスを排除するために、ガスを流出口252へと導く。
【0026】 電子モジュール213は、流路214内の電気的に操作される各種要素を制御
し、他の要素からの電気的に示された測定値を受け取る。以下の記述では、この
電子モジュール213は、これらの要素と導電性の回線もしくはバスにより結ば
れている。しかしながら、光ファイバ・ライン、光・短波・音響などを使用する
無線交信など、他の相応しい接続方法が使用され得る。
【0027】 処理室と流出口 処理稼動の間、処理室215は流路214からの処理ガスの終着点となる。処
理室215内では、前記処理ガスはその目的のために処理室215内に配置され
た材料と反応する。これらの反応には、コーティング、化学反応、エッチング、
堆積、化学結合、その他が含まれる。例として、流路214からの処理ガスは、
集積回路、平板ディスプレイ、その他の製造工程の間に前記処理室内にある半導
体材料に注がれる。他の例では、流路214からの処理ガスは、窓、金属、プラ
スチック、その他の全く異なる材料をコーティングするために使用され得る。
【0028】 処理室215は、処置すべき材料を保持できるに十分なサイズの容器からなる
。半導体製造などに使用される処理室の構成は当業者には既知であるため、さら
なる詳述は不要である。
【0029】 流出口252は、流路214からのガス流動を導く場所を提供する。1つの例
として、流路214は、多用途ガスを流路214を通して大量に流し、最終的に
これらを流出口252に導くことによって内部を浄化する。この流出口252は
他の用途も持つ。製造操業で多用途ガスが「参照導管」を通して流され、これが
最終的に流出口252に至る。これは較正の間、あるいは以下に述べる一定の浮
動参照圧力変換器の使用の間には有用である。
【0030】 ある場合には、流路214からのガスが非毒性であれば、流出口252は環境
外気への排出口につなげ得る。他の場合には、流出口252は、適切な貯蔵設備
、真空ポンプ、もしくは適当な排出や放出手段につながるその他の配管を含んで
も良い。半導体製造で使用されているような流出口の構造は業界内に知られてお
り、更なる説明は不要である。
【0031】 しかしながら、流路214と電子モジュール213は本発明の各種の特性を実
施するものであり、処理室215などの他の要素は、本発明の使用を示す目的で
のみ表示されている。
【0032】 流路 流路214は、処理ガスと多用途ガスとを案内するもので、処理ガス流路21
4aと多用途ガス流路214bとを含む。処理ガスは処理室215に導かれ、そ
こに配置された材料に注がれる。例示として、この処理ガスには、窒素、酸素、
オゾン、シラン、アルゴン、塩化フッ化炭素類などがある。前記多用途ガスは、
処理室215内の材料に注がれる以外の目的に使用される。例えば、多用途ガス
は流路214aを通って流出口252に導かれ、これによって流路214aを浄
化する。
【0033】 他の適用では、処理ガスは流路214aを通過するが、多用途ガスは圧力をか
けて流路214bに流され、以下に示すように流路214a内の浮動参照差圧変
換器を較正する。この目的に使用されるときは、多用途ガスは好ましくは窒素な
どの非腐食性、純粋、非水性の物質からなる。これらの品質は、以下に述べる多
用途ガスが浮動参照差圧変換器の化学的に敏感な背面側を損傷しないことを保証
する助けとなる。
【0034】 流路214を更に詳細に見ると、流路214は、「参照ガス」流路214bに
つながる多用途ガス入口258を含む。この流路214bは、調整式流動制御バ
ルブ211と、参照導管261と、流動規制部212とを含む。オプションとし
て、流路214b内の要素の順序は、流動制御バルブ211と流動規制部212
の位置を入れ替え、参照導管261に対して制御バルブ211を下流側、流動規
制部212を上流側となるよう配置し直してもよい。これらの要素とその作動は
以下に詳細に示す。
【0035】 入口258と流路214bとに加え、流路214は「処理ガス」流路214a
につながる処理ガス入口256を含む。これら入口256、258は、流路21
4の「上流」端末部を形成し、一方、処理室215と流出口252は「下流」端
末部を形成する。三方向バルブ201は多用途ガス入口258もしくは処理ガス
入口256からのガスを選択的に処理ガス流路214a内に流入することを許容
する。このバルブ201は、電子モジュール213からの電気信号を回線201
aを介して受信することにより、入口256、入口258、もしくはいずれの入
口でもない位置(「オフ」)の間で切り換わる。市場で入手可能な適切な三方向
バルブの例としては、Parker CorporationのUltraseal Valve、Veriflo Corp
orationのQuantum製品ラインのバルブが含まれる。
【0036】 流路214a内のバルブ201から下流につながって、ゲージ、バルブ、その
他の各種のサブ要素が、金属管やその他の適当な配管などのシール導管により直
列に配置されて相互結合されている。より具体的には、バルブ201は、水分や
微粒子などの対象汚染物を廃除するためのオプションのフィルタ202に結合さ
れている。このフィルタ202の市場で入手可能な例は、Parker Corporation
で製造される焼結ステンレス鋼フィルタがある。このフィルタ202は較正ボリ
ューム203につながり、これは以下に述べるように固形金属ブロック・アセン
ブリ内に形成された空洞からなる。
【0037】 オプションとして、温度センサ210が較正ボリューム203に結合され、較
正ボリューム203内部のガスの温度を測定する。この温度センサ210は、そ
の温度測定値を表す電気信号を回線210aを介して電子モジュール213に送
る。図面ではこの温度センサ210が較正ボリューム203に直接つながれてい
るが、例えばその近傍の導管やその他の適当な較正ボリューム203に近接する
位置でその温度を測定するために熱的につながる位置で間接的に接続されてもよ
い。温度測定は、例えば較正の間のガスの熱膨張、処理ガスの温度変化、その他
の特性など、各種熱的効果を把握し、対処するための助けとなる。実施可能でよ
り簡略な代替として、この温度センサ210をシステム200から除いてもよい
。この場合には、温度は、例えば外気温度などの一定値であると仮定される。
【0038】 絶対圧力センサ204は、動作可能な状態で較正ボリーム203に結合され、
もしくは較正ボリューム203につながる導管(図示)、もしくは較正ボリュー
ム203内部のガスの絶対圧力が測定できる他の適当な場所に接続される。この
センサ204は、圧力の測定値を表す電気信号を回線204aを介して電子モジ
ュール213に送る。絶対圧力センサ204は、絶対真空に比較して圧力を測定
する操作を行うため、「絶対」圧力を測定する。したがって、このセンサ204
はダイアフラム式装置からなる。この装置の他の目的は、層流を含んだ適用に対
して平均圧力が特定され得ることから、流動規制部206により絶対圧力を測定
することである。したがって、図示の実施の形態では、この絶対圧力センサ20
4は、較正ボリューム203と流動規制部206との両方に近い位置に配置され
、これによって動作可能な状態で両者に接続される。これは一般には導管を、高
い流体伝導性と、較正ボリューム203と流動規制部206の間の通路を比較的
短い長さになるよう最適に保つことにより達成される。市場で入手可能な絶対圧
力センサの例は、Setra Corporationによるモデル204製品がある。
【0039】 較正ボリューム203の下流で、処理ガス流路214aは流動規制部206を
通過する。この流動規制部206は、層流要素、分子流要素、音波ノズル、焼結
フィルタ要素、1つもしくは複数のオリフィス、ひねりチューブ、1つもしくは
複数の毛細管、もしくは以下に述べる流れの状態と測定技術を適合させるために
流れを規制するその他の機構からなる。
【0040】 本実施の形態で図示する例は層流もしくは分子流状態にあるガス流動への適用
であり、差圧センサが流動規制部206の近くに配置されている。すなわち、前
記差圧センサは、流動規制部206の上流の第1の浮動参照圧力変換器205と
、流動規制部206の下流の第2の浮動参照圧力変換器207とを含む。絶対圧
力センサ204とは異なり、この浮動参照圧力変換器205、207は、流路2
14b内の参照導管261に提供される制御された参照圧力に対する圧力を測定
する。このため、これら変換器205、207は導管205a、207aによっ
て参照導管261に結ばれている。この変換器205、207はまた、それぞれ
の圧力の測定値を表す電気信号を電子モジュール213に送るため、電気回線2
05b、207bによって電子モジュール213に接続されている。
【0041】 各変換器は、静電容量マノメータのようなダイアフラム式マノメータから構成
される。ダイアフラム・マノメータは、対向する2つの側面を有するダイアフラ
ムを使用し、1つのポートがダイアフラムの各側に結合されてハウジング内に収
納されている。前記ハウジングの一方の半分(「後」側)は、参照導管261に
導通し、他の半分(「前」側)は流路214aに導通している。したがって各差
圧変換器は、参照導管の圧力と流路214aにおける圧力との差を測定する。変
換器の後側では、ダイアフラムの位置を検出するために(これによって両側の圧
力の相対差を検出する)電極などの検出装置が前記ダイアフラムに結合されてい
る。この検出装置は、静電容量、歪、光、磁力入力、その他の特性の変化を検出
することができる。汚染物、腐食化学物、湿気に対するこの電極の感受性のため
、各変換器の背面側は、参照導管261(導管205a、207aを介して)の
清浄な、乾燥したガスのみに接触する。
【0042】 各変換器が測定する差圧に加え、変換器205、207の測定値間の差は、他
の差圧値を形成する。この差圧値は、流動規制部206を通過するときの圧力降
下を示す。この差圧変換器205、207を実施するための市場で入手可能な製
品の例は、Setra Corporationのモデル228又はモデル230圧力変換器、Da
ta InstrumentのDeltaMate製品ライン、およびMKS、Millipore、Edwardsなどの
製造者の製品が含まれる。
【0043】 参照導管261は、入口258から参照導管261内へ所望量の多用途ガスを
流入させる制御バルブ211を調整することにより、選択された圧力にセットさ
れる。この流動制御バルブ211の調整、これによる参照導管261内の圧力は
、回線211aを介して電子モジュール213によって受信される電気信号で制
御される。参照導管261の高い圧力は流動規制部212の存在のため起こり得
るもので、これにより参照導管261(高圧)と流出口252(低圧)との間の
圧力差を発生させる。代替として、流動規制部212を参照導管261の上流に
配置し、ガス入口258と参照導管261との間の圧力差を生じさせることがで
き、参照導管261の下流に配置された流動制御バルブ211が、回線211a
を介して電子モジュール213により受信された電気信号に応答して参照導管2
61内の圧力を制御する。
【0044】 処理ガス流路214aはまた、調整式流動制御バルブ208を含み、このセッ
ティングは流路214a内を流れるガスの質量を決定する。この制御バルブ20
8のセッティングは、回線208aを介するバルブ208との交信により、電子
モジュール213によって調整される。流動制御バルブ211や流動制御バルブ
208、その他ここに述べるその他の流動制御バルブは、ソレノイド作動式制御
バルブ、ピエゾ電気式制御バルブ、熱作動式制御バルブなど、いかなる適切な形
式の制御バルブであってもよい。流動制御バルブの市場で入手可能な1つの例は
、MSK Instrumentのモデル248である。
【0045】 三方向バルブ209は、処理ガス通路214aから処理室215へ、もしくは
流出口252へと選択的にガスを排出する。このバルブ209は、回線209a
を介して電子モジュール213から受信する電気信号に応答して処理室215、
流出口252、いずれの通路でもない(「オフ」)の間を切り替える。
【0046】 電子モジュール 電子モジュール213は、流路214内の電気的に動作する要素を制御し、測
定値や状況情報を電気的に報告する能力を有する要素からデータを入手する。電
子モジュール213は、インターフェース260を介してデータ入力/出力源(
図示せず)と情報交換する。前記データ入力/出力源は、ユーザ、制御システム
、ホストコンピュータ・システム、コミュニケーション・ネットワークなどであ
る。コスト、ユーザの練度、その他適用の要求度により、インターフェース26
0は各種要素を含んでも良い。人であるユーザの場合、これらの要素は、キーボ
ード、キーパッド、ビデオスクリーン、コンピュータ・モニタ、コンピュータ・
マウス、トラックボール、デジタル化パッド、音声作動ソフトウェア、足ペダル
、ダイアル、ノブ、スイッチなどがある。電子化もしくは機械化されたユーザに
あっては、インターフェース260の要素は、回線、バス、電話モデム、無線周
波・短波・赤外線リンク、コンピュータ・ネットワーク、その他の装置が含まれ
得る。
【0047】 電子モジュール213自身も異なる態様で実施されてもよい。1つの実施の形
態では、電子モジュール213はデジタルデータ処理装置を使用して実施され得
る。この装置は、各種ハードウェア要素と相互接続によって実施され、1つの例
はデジタルデータ処理装置500(図5)である。この装置500は、記憶装置
504に接続されたマイクロ・プロセサやその他の処理機などのプロセサ502
を含む。図示の例では、この記憶装置504は、高速アクセス記憶装置506と
不揮発性記憶装置508を含む。高速アクセス記憶装置506は、ランダム・ア
クセス・メモリ(RAM)でよく、プロセサ502で実行されるプログラム指令
を記憶するために使用され得る。不揮発性記憶装置508は、例えばリード・オ
ンリ・メモリ(ROM)、再プログラム可能ROM、コンパクト・ディスク、「
ハードドライブ」などの1つもしくは複数の磁気データ記憶媒体、フロッピ・デ
ィスク、テープドライブ、その他の適当な記憶装置を含み得る。装置500はま
た、装置500の外部にある他のハードウェアとデータを交換するため、回線、
バス、ケーブル、電磁リンク、プロセサ502に対するその他の手段からなる入
力/出力510を含む。
【0048】 システム200内のアナログ装置との交信を支援するため、電子モジュール2
13は更に、1つもしくは複数のアナログ−デジタル変換器とデジタル−アナロ
グ変換器(図示せず)を含んでも良い。しかしながら、システム200内のバル
ブや測定装置がデジタル入力/出力を備えていればこれらの変換器は不要である
【0049】 以下の詳細説明にかかわらず、通常の知識を有する者(本開示により利益を得
る者)には認識されるように、上述のデジタルデータ処理装置は、本発明の範囲
から逸脱することなしにその他の構成の機械で実施可能である。具体的例として
は、要素506、508の1つを無くすことができ、更に記憶装置504はプロ
セサ502に含めて提供可能であり、あるいは装置500の外部に設けてもよい
【0050】 更に、デジタルデータ記憶装置500(図5)とは異なり、電子モジュール2
13は、コンピュータで実行される指示の代わりに論理回路で実施されてもよい
。速度、費用、ツールコストなどの領域での適用の特定の要求に応じて、この論
理回路は、数千の微細な集積トランジスタを有する専用集積回路(ASIC)を
構成することによって実施され得る。このようなASICは、CMOS、TTL
、VLSI、その他の適当な構成を使用して実施可能である。他の代替には、デ
ジタル信号処理チップ(DSP)、ディスクリート回路(レジスタ、コンデンサ
、ダイオード、インダクタ、トランジスタなど)、書き換え可能ゲートアレイ(
FPGA)、プログラム可能論理回路(PLA)その他が含まれる。
【0051】構成例 1つの構成例では、流路214は、流路と内部空洞がブロック内に加工された
単一のブロック・アセンブリ(図示せず)を形成することにより構成され得る。
オプションとして、1つもしくはそれ以上のこれらの特性は、前記ブロック・ア
センブリに溶接されたカバープレートの下で囲まれる溝と空洞を加工して形成す
ることができる。このような統合された流路はコスト効果の観点から好ましい。
所望であれば、前記ブロック・アセンブリは、洩れをなくす金属シールの使用を
回避し、コストを削減することができる。前記ブロック・アセンブリは、ステン
レス鋼、インコネル、ハステロイ、VIM/VAR、アルミニウム、その他の十
分な耐腐食性、強度、硬度、表面特性などを有する適切な金属から製造され得る
。構成を簡素化するために、流路214bとそれにつながる要素を前記ブロック
・アセンブリから切り離して構成し、これによって流路214a及びその要素と
並べて配置することもできる。
【0052】 本実施の形態では、前記ブロック・アセンブリは、入口258、入口256、
処理室215へのポート、流出口252を提供する4つの配管継手を含み得る。
前記ブロック・アセンブリに組み込まれる継手は、例えば当業界において知られ
、一般に使用されている標準金属シールバルブ結合に対してコンパチブルである
【0053】 前記ブロック・アセンブリは、整備及び/又は取替えのため取り外し可能とす
るどの要素に対しても、適切な取り付けハードウェアが設けられてもよい。例え
ば、要素201〜212のいずれか1つもしくは全ての取り付け部を設けること
は、これらの要素を整備のために取り替えることを可能にする。本実施の形態に
係る較正ボリューム203は、前記ブロック内の加工された空洞か、もしくは取
り外し可能に配置された容器からなる。流動規制部206は、特定の適用に応じ
て、加工され、恒久的に前記ブロック・アセンブリ内に配置されたもの、もしく
は取り外し可能に搭載されたものであってもよい。
【0054】他の実施の形態 図3は、システム200の1つの実施の形態を示しており、ここでは2つの変
換器205、207の代りに1つのセンサ316が使用されている。適用ニーズ
によっては、この実施形態は、参照導管261を廃止し、より簡略化された圧力
変換器を使用することによってコスト削減がされ、デザインが簡素化されるため
、システム200よりも好ましいものとなる。
【0055】 システム200(図2)のように、システム300(図3)のセンサ316は
、流動規制部306を跨いで接続されている。しかしながら、センサ316はい
かなる参照圧力も使用していない。したがって、図2に示す多くの多用途ガス流
路は不要となる。1つの例として、変換器316は、ダイアフラム式装置などの
ような単一の差圧変換器であり得る。
【0056】 この形態では、多用途ガス入口302は三方向バルブ301に導かれ、これに
よって多用途ガス(入口302から)、もしくは処理ガス(入口304から)は
処理ガス流路314aに導入されるか、もしくは双方のガスが共に閉じられる。
下流側端末部では、三方向バルブ318が、処理ガス流路314aからのガスを
選択的に処理室、または出口へ導くか、あるいは双方共を遮断する。
【0057】 さらに別の実施の形態(図示せず)では、流動規制部306と圧力センサ31
6は、上述した毛細管を使用する熱質量流量センサと置き換えてもよい。この実
施の形態では、前記毛細管が流動規制部を形成する。さらに他の代替では、30
6、308、316のユニットを熱質量流量制御機に置き換える。
【0058】個別較正ボリュームを備えた多流路ガス流動システム 代替デザインとして、各システム200、300(図2、3)は、並列の複数
の処理ガス通路を備えて構成されてもよい。例えばシステム200の場合で言え
ば、二重の処理ガス流路を構築するために、二重の要素201〜210と相互接
続導管とで構成される。これらの二重の流路(図示せず)は、それぞれ独自の処
理ガス入口256を備え、多用途ガス入口258と、処理室出口254と、流出
口252とを共有することができる。この実施の形態は、複数のガスの正確に制
御された量の質量流量を、個別にもしくは混合して処理室215に選択的に供給
する手段を提供する。同様な改造はシステム300(図3)にもすることができ
る。
【0059】共有の較正ボリュームを備えた多流路ガス流動システム 図2、3のシステムの代替として、図4は、2つの処理ガスの間で1つの較正
ボリュームを共有するシステム400を示す。この例では2つの処理ガスを使用
しているが、通常の知識を有する当業者(本開示によって利益を得る者)には認
識されるように、本発明は、このシステム400を、より多くの数の処理ガス、
もしくは1つの処理ガスであっても1つ以上の処理室などの複数の場所に供給す
ること対してに適用することも含まれる。
【0060】 システム400は、第1と第2の処理ガス流路450、460を含む。較正ボ
リューム403、温度センサ470、絶対圧力センサ420は、前記2つの流路
450、460間で共有される。これらの要素は、上述したものと同様な装置か
ら構成され得る。
【0061】 第1の流路450は、第1の処理ガスを受け取る入口404と、多用途ガスを
受け取る入口402とを含む。三方向バルブ408は選択的に、一方、もしくは
他方のガスを入口402、404からフィルタ412に導くか、もしくは双方と
もを遮断する。前記フィルタの下流には他の三方向バルブ417があり、これは
(1)ガスを図の左側に導いてガスを較正ボリューム403、及び他の結合され
たハードウェアに導くか(図示せず)、(2)ガスを図の右側に導いて較正ボリ
ューム403をバイパスさせる(図示せず)。
【0062】 較正ボリューム403と結合されたハードウェアの下流には三方向バルブ41
9がある。このバルブ419は、較正ボリューム403からのガスを選択的にい
ずれかの流路450、460に戻す。流路450内の残りの要素は、(1)並列
に配置された差圧センサ422、(2)流動規制部424の上流に配置された絶
対圧力センサ423、(3)下流に配置された調整式流動制御バルブ430を備
えた流動規制部424を含む。これらの要素は、上述の他の実施の形態で説明し
たものと同様な構成と機能を有する。流路450はさらに三方向バルブ434を
も備え、これはガスを選択的に処理室438又は流出口440に導く。
【0063】 システム400は、要素422、423、424、430が層流へ適用可能な
例を示している。しかしながら、(1)分子流(molecular flow)に対して適
用可能なように絶対圧力センサ423は廃止可能であり、(2)音波流(sonic
flow)に対して適用可能なように差圧センサ422は廃止可能であり、(3)
要素422、423、424、430は熱質量流量制御機と置き換え可能である
【0064】 第2の流路460は同様な要素を含み、同様にガスを共有の較正ボリューム4
03へ導き、もしくは遮断することが可能である。流路450と同様、流路46
0は、ガスを選択的に処理室出口438、もしくは流出口440に導くことがで
きる。
【0065】 第1と第2の流路450、460が同様な要素を備えた同一の構成で示されて
いるが、流路450、460は異なったものであってもよい。手許にある適用に
最適化するため、1つの流路の要素が他の流路の要素と異なる順序に配置されて
いてもよい。
【0066】 他の操作モードにおいて、システム400は、ガスが較正ボリューム403を
バイパスし、流路450の流動規制部424に直接流入するよう構成してもよい
。システム400は、同様にガスが較正ボリューム(403)をバイパスし、流
路460の流動規制部に直接流入するよう構成してもよい。
【0067】代替構成 分子流 例示の実施の形態200(図2)において、ガスが流動規制部206内で分子
流を示す条件が整えられるならば、流動制御バルブ208とユニット205/2
06/207との順序を入れ替えてもよい。この実施の形態は、低圧で、流動規
制部206が分子流の要素からなるときには有用である。
【0068】 同様に、例示の実施の形態300(図3)において、同様な分子流の適用のた
めに、流動制御バルブ308とユニット306/316との順序を入れ替えても
よい。
【0069】 音波流 例示の実施の形態300(図3)において、処理ガスが、流動規制部306の
上流の圧力が下流の圧力の倍以上となる絞り粘性流(「音波流(sonic flow)
」)を示す条件が整えられるならば、流動制御バルブ308とユニット306/
316との位置が入れ替えられてもよい。この実施の形態では、制御バルブ30
8は流動規制部306の上流に配置され、差圧センサ316は、制御バルブ30
8と流動規制部306との間で流路314aに接続された絶対圧力センサ(図示
せず)に置き換えられる。この構成では、流動規制部306は、音波ノズルとし
て作用するよう設計され、流動規制部の上流の絶対圧力が下流の圧力の少なくと
も倍である適用に際しては、前記絶対圧力を測定することによってリアルタイム
の流れ測定値が影響される。上流の圧力と音波流における流動規制部306の導
通度に質量流量が直接比例することを表している適切な音波流式、もしくは絞り
流動式に当てはめることにより、質量流量が算定される。
【0070】 操 作 上述した各種のハードウェアの実施の形態に加え、本発明の異なる態様は、リ
アルタイムの流動測定及び修正と、オプションとして流動調整を備えた広範囲の
質量流量制御機を操作する方法に関する。
【0071】信号保持媒体 1つの例としてこの方法は、機械読み取り可能な指令の手順を実行するための
デジタルデータ処理装置500(図5)の形態で実施された電子モジュール21
3(図2)を操作することにより実行される。これらの指令は、各種形式の信号
保持媒体に納めることができる。この観点から、本発明の1つの態様は、リアル
タイムの流動測定と修正を含む広範囲の質量流量制御機を操作する方法を実行す
るため、デジタルデータ処理機により実行される機械読み取り可能な指令のプロ
グラムを具体的に実行させる信号保持媒体により構成されたプログラムされた製
品に関する。
【0072】 この信号保持媒体は、例えば、表示された電子モジュール213(図2)の高
速アクセス記憶装置506(図5)内に収納されたRAM(図示せず)が含まれ
得る。代替として、この指令は、データ処理機502によって直接もしくは間接
にアクセス可能な磁気データ記憶ディスク600(図6)などのその他の信号保
持媒体に収納することもできる。装置500、電子モジュール213、もしくは
その他の場所のいずれに収納されるにしても、前記指令は各種の機械読み取り可
能なデータ保持媒体に記憶させることができ、これらには、直接アクセス記憶装
置(例えば、従来の「ハードドライブ」、ディスクアレイ(RAID)、その他
の直接アクセス記憶装置(DASD))、磁気テープ、電子リード・オンリ・メ
モリ(例えば、ROM、EPROM、EEPROM)、光記憶装置(例えば、C
D−ROM、WORM、DVD、デジタル光テープ)、紙製「パンチ」カード、
その他、デジタル/アナログ送信媒体や交信リンクや無線を含む適切な信号保持
媒体がある。本発明に表示された実施の形態では、この機械読み取り可能な指令
は、例えば「C」などの文字で編纂されるソフトウェア目標コードから構成され
得る。
【0073】論理回路 上述した信号保持媒体に対し、本発明の方法の態様は、指令を実施するための
処理機を使用することなく、論理回路を使用して実行することができる。本実施
の形態で、前記論理回路は、電子モジュール213内で実施され、本発明の方法
を実施する操作を行うように構成されている。この論理回路は、上述のような各
種の異なる形式の回路を使用することにより実施され得る。
【0074】操作手順:導入 一般に本発明は、質量流量を正確に測定するためガス供給システムを操作する
方法に関し、正確に調整されたガスの流れを供給するために実施され得る。まず
第1に、較正ボリュームが所定のレベルまで充填される。充填された較正ボリュ
ームは、供給用の当初のガス供給を提供する。その後、較正ボリュームから流路
内に、そして最終的に圧力室215にガスを放出するため、各種バルブが開かれ
る。当初、目標質量流量が入力され、演算され、あるいは構築される。
【0075】 その後、ガスがシステムを通過して流れる間、前記質量流量は以下のように注
意深く監視(そして、オプションとして調整)される。較正ボリュームの下流で
各種の流体特性が繰り返して測定される。これらの特性は、絶対ガス圧力、ガス
差圧、これらの組み合わせ、もしくは質量流量を表すその他の測定値の組み合わ
せであり得る。ガスの質量流量はこれらの流体特性を使用して特定され、そして
(オプションとして)前記目標の質量流量を維持するために調整される。
【0076】 較正ボリュームから出る実際の質量流量もやはり繰り返して測定される。これ
らの測定値は、例えば、較正ボリュームで、もしくはその近傍で動作可能に配置
された温度及び圧力センサを使用して得られる。実際の質量流量と測定質量流量
との間に指定された値を越える差異が生じたときには、質量流量(例えば、関連
する流体特性値)を測定する方法が、その差異を修正するために調整される。し
たがって、次に下流の流体特性が測定されて、この下流質量流量測定値(修正後
)は、目標質量流量に一致するよう質量流量を調整するために使用され、これに
よって事前の差異を埋め合わせる。
【0077】 ガス流動を測定して調整することに加え、本発明はさらに、ガス流動の調整を
含まない、ここで表示された技術を使用するガス流動の測定の削減されたステッ
プが企図されている。しかしながら、表示を完全にするため、以下の説明ではガ
ス流動の測定と調整の実施の形態を示すために図7A〜7Bを使用する。
【0078】操作手順 図7A〜7Bは、上述した方法の1つの例を示す操作手順700を示す。この
技術は、較正ボリュームの下流の「測定された」質量流量を定めることによって
ガス流動を調整し、質量流量が前記目標質量流量と等しくなるよう維持するため
に繰り返し流れを修正する。ある時点で、実際の質量流量を特定するために較正
ボリュームにおける測定値が得られ、この実際の質量流量は「操業中での」調整
を行うために測定質量流量の計算に使用され、「修正された」測定質量流量を派
生する。
【0079】 説明の簡略化のため、但し限定する意図ではなく、上述の図2のシステム20
0の特定の構成のなかで、図7A〜7Bの例が説明されている。
【0080】 図7A〜7Bに表す反復の工程は、電子モジュール213によって作動される
工程の論理要素を表示するためにのみ使用されており、他の例えば強制割り込み
駆動操作システム、マルチタスク操作システム、目標志向リアルタイムカーナル
(kernal)適用や他の本例に示すような同様な流体動作を達成するための処理作
用に影響する方法などを排除する意図はない。
【0081】 ステップ702で操作700が開始された後、ステップ704で一定の流動修
正値が確定され、これは流動規制部206における測定質量流量の演算に使用さ
れる。これらの値とその使用については以下にさらに詳細に記す。有利なことに
は、これらの値は、較正ボリュームにおいて「実際の」質量流量と表示されよう
に、誤りを修正するために別に測定される下流の質量流量に変更され得る。ステ
ップ704の後、電子モジュール213は、所望の質量流量の仕様、もしくは「
目標」質量流量を受け取る(ステップ706)。この値は、オペレータ、制御シ
ステム、コンピュータ・ネットワークなどからインターフェース260を介して
受け取ることができ、もしくは電子モジュール(213)内に収納された揮発性
もしくは非揮発性メモリから呼び込まれる。前記目標質量流量の単位は、例えば
、分当たり標準立法センチメートル(sccm)、もしくは分当たり標準リット
ル(slm)である。
【0082】 ステップ706の後、ステップ708で較正ボリューム203が目標圧力まで
充填される。これは電子モジュール213により、閉鎖バルブ209がもし閉じ
ていなければこれを閉じ、処理ガスが入口256から較正ボリューム203まで
導かれるように三方向バルブ201を動かす適当な指令を送ることにより得られ
る。前記較正ボリュームの目標圧力は、必要な処理圧力、較正ボリュームのサイ
ズ、所望ガス流動時間、所望流量などに応じて選択される。代替として、ステッ
プ708は、この工程に大きな影響を与えることなくステップ706に先行して
実施することもできる。
【0083】 表示された実施の形態では、ステップ708はまた、浮動参照差圧変換器20
5/207を較正する。即ち、電子モジュール213が適当な指令を出して流動
制御バルブ211を開き、十分な圧力の多用途ガスを導入して各変換器のダイア
フラムにおける背面圧力を対向する前側の圧力とバランスさせ、これによって変
換器205、207を「ゼロ調整」する。各変換器205、207は参照導管2
61内のガス圧力に対する圧力の出力を提供するので、ステップ708では両変
換器205、207の読みがほぼゼロになるまで参照導管261の圧力を変化さ
せることを含む。製造誤差やその他の要因で一方の変換器の読みが他方の読みよ
りもやや高い、または低いときは、両変換器205、207間で平均圧力がゼロ
となるよう多用途ガス圧力が調整され得る。この参照圧力は、上述のように一度
だけ設定することもでき、オプションとしてこの参照圧力は全操業の間を通じて
変換器205、207間で平均圧力がゼロとなるよう調整することもできる。
【0084】 ステップ708の後、ステップ710でガス流動が開始される。これは電子モ
ジュール213が三方向バルブ209を処理室215に向けて開け、流動制御バ
ルブ208を僅かに開けることによって達成される。ステップ712は処理ルー
プの開始を示しており、ここでは各繰り返し操作は、電子モジュール213がガ
ス流動を停止させる信号をチェックすることにより開始される。例えば、流れが
もはや不要となった時は、ガス流動を停止させる信号がインターフェース260
から発せられる。ステップ712でその信号が検出されると、ステップ714で
三方向バルブ209を閉じることでガスの流れを停止させる。ステップ714の
後、電子モジュール213はステップ706に移り、ガス流動を開始させる次の
信号を待つ。
【0085】 ステップ712でガス流動を停止させる信号がないと判定されたら、ステップ
716で変換器205、207が流動規制部206を跨いだ差圧を測定し、変換
器204は流動規制部206の上流の絶対圧力を測定する。ステップ716はそ
の後、これらの圧力を基に質量流量を特定する。この演算は、以下の式1に示す
層流のためのハーガン・ポアズイユの式にしたがって行うことができる。 Q =KCP(P−P) [1] ここで、 Q=質量流量 K=定数 C=伝導度 P=平均圧力 P=変換器205からの入口圧力 P=変換器207からの出口圧力
【0086】 表示目的のため、この例では層流状態への適用が仮定されている。分子流状態
への適用に対しては、式1のPは除かれ、Kは異なる値となる。また、音波
流状態の適用に対しては、P、P、Pが除かれ、Kは異なる値となる。
この場合、音波状態を保証するため、Pは絶対圧力センサ204により測定さ
れる。
【0087】 ステップ716ではまた、較正ボリュームにおいて先行する「実際の」質量流
量の特定に従って修正された質量流量の最終測定値を得るため、流動修正値が求
められる。1つの例として、この演算は、下記の式2に示すような式に流れ修正
値を適用することによって行われる。代替として、断片一次式、二次式、多項式
、補間一覧表、これらの各種組み合わせ、その他の数値測定エラーを修正するた
めに一般に使用される方法を使用することができる。 Q = mQ+b [2] ここで Q=修正された質量流量 Q=測定質量流量 m=スケール修正 b=オフセット修正
【0088】 流れの修正値の調整について以下に詳述する。ステップ718で、電子モジュ
ール213は、修正された測定質量流量が目標質量流量に一致するか否かを確認
する。もし一致していなければ、ステップ720でガス流動を増加もしくは減少
させ、ステップ712に戻る。例として、制御バルブ208への制御信号を、指
定された僅かな増加分だけ変更することによってガス流動が増加または減少され
る。代替として、ステップ716、718、720は、アナログ・サーボ・ルー
プ、もしくはサーボ・ループのデジタル実施を使用して増加することなく実施さ
れ得る。
【0089】 目標質量流量に達すると、ステップ718からステップ722に進み、較正ボ
リューム203が三方向バルブ201によって処理ガス入口256から切り離さ
れているかが判定される。もし較正ボリューム203が処理ガス入口256から
切り離されていなければ再充填される。この場合、ステップ724では圧力セン
サ204を読むことにより較正ボリューム203の圧力が測定される。その後ス
テップ726において、ステップ724で測定された圧力が目標圧力(ステップ
708で述べたもの)と比較され、較正ボリューム203が所望の圧力に達した
かを特定し、達していればステップ728で電子モジュール213が三方向バル
ブ201を閉じる。いずれの場合も、処理ループはステップ712に戻る。
【0090】 しかしながら、ステップ722において、較正ボリューム203が処理ガス入
口256から切り離され、したがってガスが流れることで圧力が急激に降下して
いると判定されると、ステップ730で圧力センサ204が較正ボリューム20
3内の圧力を測定する。その後ステップ732で、この圧力が、下流での流動測
定及び制御を適切に実施するには低すぎると考えられる値を下回っているか否か
が判定される。この判定は、流路214aや関連する要素のデザイン、さらには
上流や下流の圧力、質量流量、その他の要因を含む各種考慮点をベースにしてな
される。ステップ732で較正ボリューム203の圧力が限界以下であると判定
されると、ステップ734で三方向バルブ201が処理ガス入口256に向けて
開かれ、較正ボリューム圧力の再加圧が始まる。上述の反復詳細の代替として、
ステップ722〜734は、アナログ・サーボ・ループ、もしくはサーボ・ルー
プのデジタル実施を使用して増加なしに実施されてもよい。
【0091】 一方、ステップ732で、較正ボリューム203の前記圧力が許容される限界
内にあると判定されると、ステップ736で較正ボリューム203から出た質量
流量が測定される。「実際の流量」と呼ばれる較正ボリューム203から出る質
量流量は、理想ガス流をベースにして下記の式3により求められる: Q=VdP [3] Tdt ここで Q=質量流量 K=定数(負の値) V=較正ボリューム203の容量 T=較正ボリューム203の温度 dP=較正ボリューム203の圧力変化の時間率 dt
【0092】 較正ボリューム203の圧力変化の時間率は、変換器204の連続する測定値
の差をとり、これを時計又は電子モジュール213がアクセス可能なその他の時
間参照要素(図示せず)によって定まる前記連続した測定の間の時間で割ること
により得られる。オプションとして、幾つかの測定値を平均してもよい。較正ボ
リューム203内の温度は、温度センサ210からの測定値の読みにより、もし
くは指定された温度、もしくは外気温度などのみなし温度を使用することにより
求められる。
【0093】 ステップ736の後、ステップ738では、(1)較正ボリュームから出た「
実際の」質量流量の測定値(ステップ736)と、(2)前記絶対圧力センサと
差圧センサにより表示される、流動規制部206を通過する「測定された」質量
流量(ステップ716)との間に差があるかが特定される。適用の要求に応じて
、実際のガス流動と測定されたガス流動が、例えば実際の流動のパーセント比率
や、0.01sccmなどの一定値を超える差が生ずると、「差異」が検出され
る。差異がなければ、ステップ738からステップ712に戻る。
【0094】 もし差異があれば、ステップ740で流動修正値を更新する計算をする。これ
は、式2を逆算することにより、もしくは代替としてステップ716で使用され
たいずれかの計算式を逆に計算することにより得られ、測定質量流量を修正する
。ステップ740に続き、ステップ742では、システム200の達成度を評価
し、適切と思われる何らかのアクションが取られる。1つの実施の形態では、ス
テップ742の評価では、現状の流動較正が過去のデータに対して評価され、汚
染、変換器のばらつき、処理ガスに見られる不純さ、メンテナンス必要性、など
による差し迫った信頼性問題を予測的に検出する。例えば、より高い下流圧力の
要請は、流動規制部206の詰まりを示しているかも知れないし、広く変動する
流れ修正値は、圧力測定値やその他のシステム上の問題点を示しているかも知れ
ない。この評価はまた、ユーザが選択したメンテナンス・スケジュールによって
アクションを取るべき期限に来ているものがあるかどうかも特定し得る。ステッ
プ742の評価で問題が明らかになれば、例えば、システム200を暫定停止す
る、インターフェース260を通してオペレータに警報や警告を与える、予定の
、もしくは予定にないメンテナンスを実施する、処理ガス入口256からのガス
の供給が所望の質量流量を維持するには低すぎる場合には、手順700を中止す
るなどを含む適切なアクションが取られる。ステップ742で問題が見つからな
ければ、制御は上述したステップ712に戻る。
【0095】 その他の実施の形態 以上の記述では本発明の幾つかの実施の形態を図を用いて示しているが、当該
技術分野の知識を有する者には明らかなように、添付の請求項により特定される
本発明の範囲から逸脱することなく、各種の変更、修正をすることは可能である
。さらに、本発明の要素が単数で表現されている場合であっても、単数であるこ
とが明確に限定されていない限り、複数をも含めることが意図されている。
【図面の簡単な説明】
【図1】 従来技術の質量流量制御機のブロック図である。
【図2】 本発明に係る浮動参照差圧変換器を使用して、リアルタイムの流
動測定、調整、修正をする広範囲ガス供給質量流量制御システムのハードウェア
要素と相互関係を示すブロック図である。
【図3】 本発明に係る差圧変換器が流動規制部と平行に搭載された、ガス
供給質量流量制御システムの他の実施の形態にかかるハードウェア要素と相互関
係を示すブロック図である。
【図4】 本発明に係る異なる処理ガスを運ぶ複数の流路と共有の参照ボリ
ュームを備えた、ガス供給質量流量制御機のハードウェア要素と相互関係を示す
ブロック図である。
【図5】 本発明に係るデジタルデータ処理機のブロック図を示す。
【図6】 本発明に係る信号保持媒体の例を示す。
【図7】 本発明に係る、下流の質量流量を管理することによりガス供給質
量流量制御システムを操作する操作手順を示すフローチャートである。
【図7A】 本発明に係る、下流の質量流量を管理することによりガス供給
質量流量制御システムを操作する操作手順を示すフローチャートである。
【図7B】 本発明に係る、下流の質量流量を管理することによりガス供給
質量流量制御システムを操作する操作手順を示すフローチャートである。
【符号の説明】
200.ガス流動システム、 201.三方向バルブ、 201a、201b.
回線、 202.フィルタ、 203.較正ボリューム、 204.絶対圧力セ
ンサ、 204a.回線、 205.第1の浮動参照圧力変換器、 205a.
導管、 206.流動規制部、 207.第2の浮動参照圧力変換器、 207
a.導管、 207b.電気回線、 208.調整式流動制御バルブ、 208
a.回線、 209.三方向バルブ、 209a.回線、 210.温度センサ
、 210a.回線、 211.調整式流動制御バルブ、 211a.回線、
212.流動規制部、 213.電子モジュール、 214、214a、214
b.流路、 215.処理室、 252.流出口、 256.処理ガス入口、
258.多用途ガス入口、 260.インターフェース、 261.参照導管。
───────────────────────────────────────────────────── フロントページの続き (81)指定国 EP(AT,BE,CH,CY, DE,DK,ES,FI,FR,GB,GR,IE,I T,LU,MC,NL,PT,SE),OA(BF,BJ ,CF,CG,CI,CM,GA,GN,GW,ML, MR,NE,SN,TD,TG),AP(GH,GM,K E,LS,MW,SD,SL,SZ,TZ,UG,ZW ),EA(AM,AZ,BY,KG,KZ,MD,RU, TJ,TM),AE,AL,AM,AT,AU,AZ, BA,BB,BG,BR,BY,CA,CH,CN,C R,CU,CZ,DE,DK,DM,EE,ES,FI ,GB,GD,GE,GH,GM,HR,HU,ID, IL,IN,IS,JP,KE,KG,KP,KR,K Z,LC,LK,LR,LS,LT,LU,LV,MA ,MD,MG,MK,MN,MW,MX,NO,NZ, PL,PT,RO,RU,SD,SE,SG,SI,S K,SL,TJ,TM,TR,TT,TZ,UA,UG ,UZ,VN,YU,ZA,ZW (72)発明者 ダニエル・アール・ジャド アメリカ合衆国01803−2601マサチューセ ッツ州バーリントン、ミル・ストリート37 番 Fターム(参考) 2F035 AA06 EA01 JB05

Claims (46)

    【特許請求の範囲】
  1. 【請求項1】 ガス供給システムの質量流量を測定する方法であって: 較正ボリュームを処理ガスで充填し; 前記較正ボリュームから流路への前記処理ガスの流動を開始し; 前記ガス流動の間に、 圧力測定値を得るために前記較正ボリュームの下流にある1つもしくは複数の
    センサを繰り返し使用し、前記圧力測定値を含むデータを使用して演算工程を実
    行して前記流路内の流動規制部を通過する測定質量流量を演算し; 前記較正ボリューム内のガスの圧力の測定と温度の測定をし、前記測定された
    圧力と測定された温度の時間当たり変化を使用して前記較正ボリュームから流れ
    出るガスの実際の質量流量を演算し、当該実際の質量流量と前記測定質量流量と
    の間に差異があるか否かを判定することからなる工程を繰り返し実行し: 差異が発見されたときには、前記測定質量流量が前記実際の質量流量と一致す
    るよう前記演算工程を修正することによって前記差異をなくすこと、 からなる方法。
  2. 【請求項2】 圧力測定値を得るために前記較正ボリュームの下流にある1
    つもしくは複数のセンサを使用する操作が: 差圧を測定するために前記流動規制部の近傍に配置された1つもしくは複数の
    圧力センサを使用することからなる、請求項1の方法。
  3. 【請求項3】 圧力測定値を得るために前記較正ボリュームの下流にある1
    つもしくは複数のセンサを使用する操作が: 差圧を測定するために前記流動規制部の近傍に配置された1つもしくは複数の
    圧力センサを使用し、加えて前記流動規制部の平均絶対圧力を測定することから
    なる、請求項1の方法。
  4. 【請求項4】 圧力測定値を得るために前記較正ボリュームの下流にある1
    つもしくは複数のセンサを使用する操作が: 絶対圧力を測定するために前記流路内の前記流動規制部の上流に配置された絶
    対圧力センサを使用することからなる、請求項1の方法。
  5. 【請求項5】 圧力測定値を得るために前記較正ボリュームの下流にある1
    つもしくは複数のセンサを使用する工程が、毛細管熱質量流量センサからなる質
    量流量センサにより実行される、請求項1の方法。
  6. 【請求項6】 前記圧力センサが、前記流動規制部の上流の前記流路に取り
    付けられた第1の変換器と前記流動規制部の下流の前記流路に取り付けられた第
    2の変換器とを含む浮動参照差圧センサから構成され、各変換器は追加して参照
    導管から背面参照圧力を受け取り、前記操作が: ガス流動を開始する前に、各変換器がほぼゼロの圧力出力を提供できるよう前
    記参照導管を経由して前記両変換器に十分な圧力を加えることにより前記差圧セ
    ンサを較正することをさらに含む、請求項3の方法。
  7. 【請求項7】 前記測定質量流量が指定された目標質量流量に一致するまで
    ガス流動を繰り返し調整することをさらに含む、請求項1の方法。
  8. 【請求項8】 前記測定質量流量が指定された目標質量流量に一致するまで
    ガス流動を調整する前記操作が: 前記測定ガス流量が前記目標質量流量に達するまでガス流動を調整するアナロ
    グ・サーボ・ループを使用する、請求項7の方法。
  9. 【請求項9】 前記測定質量流量が指定された目標質量流量に一致するまで
    ガス流動を調整する前記操作が: ガス流動を増加して調整し、その後、前記目標ガス流量に達するまで前記測定
    質量流量を再演算することを含む操作を繰り返すことからなる、請求項7の方法
  10. 【請求項10】 実際の質量流量と前記測定質量流量との間に差異があるか
    否かを判定する前記操作が: 実際の質量流量と前記目標質量流量との間の差異を測定し、当該差異が指定さ
    れた閾値を越えていれば差異が存在するとみなすことからなる、請求項1の方法
  11. 【請求項11】 前記センサが前記較正ボリュームの下流に1つもしくは複
    数の圧力センサを含み、 前記演算工程が、測定質量流量を演算するために前記圧力測定値に指定された
    算式を適用することからなる、請求項1の方法。
  12. 【請求項12】 前記演算工程を修正する操作が、前記算式を変更すること
    により実行される、請求項11の方法。
  13. 【請求項13】 質量流量を測定するためにガス供給システムを稼動させる
    操作を実行すべく、デジタル処理装置により実行可能である機械読み取り可能な
    指令のプログラムを具体的に実施させる信号保持媒体であって、前記操作が: 較正ボリュームを処理ガスで充填し; 前記較正ボリュームから流路への前記処理ガスの流動を開始し; 前記ガス流動の間に、 圧力測定値を得るために前記較正ボリュームの下流にある1つもしくは複数の
    センサを繰り返し使用し、前記圧力測定値を含むデータを使用して演算工程を実
    行して前記流路内の流動規制部を通過する測定質量流量を演算し; 前記較正ボリューム内のガスの圧力の測定と温度の測定をし、前記測定された
    圧力と測定された温度の時間当たり変化を使用して前記較正ボリュームから流れ
    出るガスの実際の質量流量を演算し、前記実際の質量流量と前記測定質量流量と
    の間に差異があるか否かを判定することからなる工程を繰り返し実行し: 差異が発見されたときには、前記測定質量流量が前記実際の質量流量と一致す
    るよう前記演算工程を修正することによって前記差異をなくすこと、 から構成される媒体。
  14. 【請求項14】 圧力測定値を得るために前記較正ボリュームの下流にある
    1つもしくは複数のセンサを使用する操作が: 差圧を測定するために前記流動規制部の近傍に配置された1つもしくは複数の
    圧力センサを使用することからなる、請求項13の媒体。
  15. 【請求項15】 圧力測定値を得るために前記較正ボリュームの下流にある
    1つもしくは複数のセンサを使用する操作が: 差圧を測定するために前記流動規制部の近傍に配置された1つもしくは複数の
    圧力センサを使用し、加えて前記流動規制部の平均絶対圧力を測定することから
    なる、請求項13の媒体。
  16. 【請求項16】 圧力測定値を得るために前記較正ボリュームの下流にある
    1つもしくは複数のセンサを使用する操作が: 絶対圧力を測定するために前記流路内の前記流動規制部の上流に配置された絶
    対圧力センサを使用し、前記測定された絶対圧力を含むデータを使用して質量流
    量を演算することからなる、請求項13の媒体。
  17. 【請求項17】 圧力測定値を得るために前記較正ボリュームの下流にある
    1つもしくは複数のセンサを使用する工程が、毛細管熱質量流量センサからなる
    質量流量センサにより実行される、請求項13の媒体。
  18. 【請求項18】 前記圧力センサが、前記流動規制部の上流の前記流路に取
    り付けられた第1の変換器と前記流動規制部の下流の前記流路に取り付けられた
    第2の変換器とを含む浮動参照差圧センサから構成され、各変換器は追加して参
    照導管から背面参照圧力を受け取り、前記操作が: ガス流動を開始する前に、各変換器がほぼゼロの圧力出力を提供できるよう前
    記参照導管を経由して前記両変換器に十分な圧力を加えることにより前記差圧セ
    ンサを較正することをさらに含む、請求項15の媒体。
  19. 【請求項19】 前記操作がさらに、前記測定質量流量が指定された目標質
    量流量に一致するまでガス流動を繰り返し調整することを含む、請求項13の媒
    体。
  20. 【請求項20】 前記測定質量流量が指定された目標質量流量に一致するま
    でガス流動を調整する前記操作が: 前記測定ガス流量が前記目標質量流量に達するまでガス流動を調整するアナロ
    グ・サーボ・ループを使用する、請求項13の媒体。
  21. 【請求項21】 前記測定質量流量が指定された目標質量流量に一致するま
    でガス流動を調整する前記操作が: ガス流動を増加して調整し、その後、前記目標ガス流量に達するまで前記測定
    質量流量を再演算することを含む操作を繰り返すことからなる、請求項13の媒
    体。
  22. 【請求項22】 実際の質量流量と前記測定質量流量との間に差異があるか
    否かを判定する前記操作が: 実際の質量流量と前記目標質量流量との間の差異を測定し、当該差異が指定さ
    れた閾値を越えていれば差異が存在するとみなすことからなる、請求項13の媒
    体。
  23. 【請求項23】 前記センサが前記較正ボリュームの下流に1つもしくは複
    数の圧力センサを含み、 前記演算工程が、測定質量流量を演算するために前記圧力測定値に指定された
    算式を適用することからなる、請求項13の媒体。
  24. 【請求項24】 前記演算工程を修正する操作が、前記算式を変更すること
    により実行される、請求項23の媒体。
  25. 【請求項25】 ガス供給システムを制御する操作を実行するよう構成され
    た相互に接続された複数の導電要素の論理回路であって、前記操作が: 較正ボリュームを処理ガスで充填し; 前記較正ボリュームから流路への前記処理ガスの流動を開始し; 前記ガス流動の間に、 圧力測定値を得るために前記較正ボリュームの下流にある1つもしくは複数の
    センサを繰り返し使用し、前記圧力測定値を含むデータを使用して演算工程を実
    行して前記流路内の流動規制部を通過する測定質量流量を演算し; 前記較正ボリューム内のガスの圧力の測定と温度の測定をし、前記測定された
    圧力と測定された温度の時間当たり変化を使用して前記較正ボリュームから流れ
    出るガスの実際の質量流量を演算し、当該実際の質量流量と前記測定質量流量と
    の間に差異があるか否かを判定することからなる工程を繰り返し実行し: 差異が発見されたときには、前記測定質量流量が前記実際の質量流量と一致す
    るよう前記演算工程を修正することによって前記差異をなくすこと、 から構成される回路。
  26. 【請求項26】 圧力測定値を得るために前記較正ボリュームの下流にある
    1つもしくは複数のセンサを使用する操作が: 差圧を測定するために前記流動規制部の近傍に配置された1つもしくは複数の
    圧力センサを使用することからなる、請求項25の回路。
  27. 【請求項27】 圧力測定値を得るために前記較正ボリュームの下流にある
    1つもしくは複数のセンサを使用する操作が: 差圧を測定するために前記流動規制部の近傍に配置された1つもしくは複数の
    圧力センサを使用し、加えて前記流動規制部の平均絶対圧力を測定することから
    なる、請求項25の回路。
  28. 【請求項28】 圧力測定値を得るために前記較正ボリュームの下流にある
    1つもしくは複数のセンサを使用する操作が: 絶対圧力を測定するために前記流路内の前記流動規制部の上流に配置された絶
    対圧力センサを使用し、前記測定された絶対圧力を含むデータを使用して質量流
    量を演算することからなる、請求項25の回路。
  29. 【請求項29】 圧力測定値を得るために前記較正ボリュームの下流にある
    1つもしくは複数のセンサを使用する工程が、毛細管熱質量流量センサからなる
    質量流量センサにより実行される、請求項25の回路。
  30. 【請求項30】 前記圧力センサが、前記流動規制部の上流の前記流路に取
    り付けられた第1の変換器と前記流動規制部の下流の前記流路に取り付けられた
    第2の変換器とを含む浮動参照差圧センサから構成され、各変換器は追加して参
    照導管から背面参照圧力を受け取り、前記操作が: ガス流動を開始する前に、各変換器がほぼゼロの圧力出力を提供できるよう前
    記参照導管を経由して前記両変換器に十分な圧力を加えることにより前記差圧セ
    ンサを較正することをさらに含む、請求項26の回路。
  31. 【請求項31】 前記操作がさらに、前記測定質量流量が指定された目標質
    量流量に一致するまでガス流動を繰り返し調整することを含む、請求項25の回
    路。
  32. 【請求項32】 前記測定質量流量が指定された目標質量流量に一致するま
    でガス流動を調整する前記操作が: 前記測定ガス流量が前記目標質量流量に達するまでガス流動を調整するアナロ
    グ・サーボ・ループを使用する、請求項25の回路。
  33. 【請求項33】 前記測定質量流量が指定された目標質量流量に一致するま
    でガス流動を調整する前記操作が: ガス流動を増加して調整し、その後、前記目標ガス流量に達するまで前記測定
    質量流量を再演算することを含む操作を繰り返すことからなる、請求項25の回
    路。
  34. 【請求項34】 実際の質量流量と前記測定質量流量との間に差異があるか
    否かを判定する前記操作が: 実際の質量流量と前記目標質量流量との間の差異を測定し、当該差異が指定さ
    れた閾値を越えていれば差異が存在するとみなすことからなる、請求項25の回
    路。
  35. 【請求項35】 前記センサが前記較正ボリュームの下流に1つもしくは複
    数の圧力センサを含み、 前記演算工程が、測定質量流量を演算するために前記圧力測定値に指定された
    算式を適用することからなる、請求項25の回路。
  36. 【請求項36】 前記差異を修正するため前記下流のセンサによる測定値を
    調整する操作が、前記算式を変更することにより実行される、請求項35の回路
  37. 【請求項37】 前記論理回路が集積回路からなる、請求項25の回路。
  38. 【請求項38】 ガス供給システムであって、 上流端末部及び下流端末部を有する第1の導管と、 前記第1の導管と直列に配置される較正ボリュームと、 前記較正ボリューム内のガスの圧力を測定するため、前記較正ボリュームと前
    記第1の導管の内の少なくともいずれか1つの適切な位置に結合される第1の圧
    力センサと、 前記較正ボリュームの下流で前記第1の導管と直列に配置される流動規制部と
    、 前記第1の導管とは個別の参照導管と、 前記流動規制部の上流で前記第1の導管に取り付けられた第1の変換器と、前
    記流動規制部の下流で前記第1の導管に取り付けられた第2の変換器とを含み、
    各変換器が前記参照導管内の圧力に対する圧力を測定する浮動参照差圧センサと
    から構成されるシステム。
  39. 【請求項39】 各変換器が、ダイアフラムの位置に応じて圧力出力を提供
    する検出装置を備えたダイアフラムを収納するハウジングを含む、請求項38の
    システム。
  40. 【請求項40】 各変換器が、静電容量マノメータから構成される、請求項
    39のシステム。
  41. 【請求項41】 前記システムが、 各々が較正ボリューム、絶対圧力センサ、温度センサ、流動規制部、差圧セン
    サとを含む1つもしくは複数の追加の導管と、 前記導管の1つを処理室に選択的に結ぶ出力バルブとを含んでいる、請求項3
    9のシステム。
  42. 【請求項42】 前記システムがさらに、 各々が流動規制部と差圧センサとを備えた1つもしくは複数の追加の導管と、 一度にいずれか1つの選択された前記導管を前記較正ボリュームに結ぶために
    各導管が異なるポートに結合される多ポート弁とを含む、請求項39のシステム
  43. 【請求項43】 前記システムがさらに、 1つもしくは複数の入口と、 異なった処理ガスを選択して前記較正ボリュームに導入するよう各ガス入口と
    前記較正ボリュームに接続された多ポート・バルブとを備える、請求項39のシ
    ステム。
  44. 【請求項44】 前記システムが、前記第1の導管を通過するガス流動を導
    き、調整するため、前記第1の導管と直列に配置された複数のバルブをさらに含
    み、前記各バルブが、可変調整式流動制御バルブ、または多方向バルブのいずれ
    かからなる、請求項39のシステム。
  45. 【請求項45】 前記システムが、前記較正ボリューム内のガスの温度を測
    定するため、前記較正ボリュームと前記第1の導管との少なくともいずれか1つ
    の適当な位置に結合される温度センサをさらに含む、請求項39のシステム。
  46. 【請求項46】 ガス供給質量流量制御システムであって、 上流端末部と下流端末部とを有する導管と、 前記導管に直列に配置された較正ボリュームと、 前記較正ボリューム内のガスの圧力を測定する手段と、 前記較正ボリュームの下流で前記導管内のガス流動を規制する手段と、 参照導管、及び前記参照導管内の圧力を選択的に調整する手段と、 前記ガス流動を規制する手段を跨いだ圧力の差異を測定する手段であって、当
    該測定が前記参照導管内の圧力に対する圧力の測定である手段と、 から構成されるシステム。
JP2001500922A 1999-05-26 1999-12-04 リアルタイムの流量測定と修正が可能な広範囲ガス流動システム Pending JP2003501637A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/318,880 US6119710A (en) 1999-05-26 1999-05-26 Method for wide range gas flow system with real time flow measurement and correction
US09/318,880 1999-05-26
PCT/US1999/028687 WO2000073868A1 (en) 1999-05-26 1999-12-04 Wide range gas flow system with real time flow measurement and correction

Publications (1)

Publication Number Publication Date
JP2003501637A true JP2003501637A (ja) 2003-01-14

Family

ID=23239950

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001500922A Pending JP2003501637A (ja) 1999-05-26 1999-12-04 リアルタイムの流量測定と修正が可能な広範囲ガス流動システム

Country Status (10)

Country Link
US (2) US6119710A (ja)
JP (1) JP2003501637A (ja)
KR (1) KR20020000867A (ja)
CN (1) CN1350668A (ja)
AU (1) AU2039600A (ja)
CA (1) CA2366580A1 (ja)
GB (2) GB2365980B (ja)
SG (1) SG102683A1 (ja)
TW (1) TW468101B (ja)
WO (1) WO2000073868A1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007525736A (ja) * 2003-06-25 2007-09-06 エム ケー エス インストルメンツ インコーポレーテッド インサイチュ式フロー検証及び較正システム及び方法
JP2008522028A (ja) * 2004-11-29 2008-06-26 東京エレクトロン株式会社 固体前駆体供給システムにおいて流量を測定する方法およびシステム

Families Citing this family (476)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6581623B1 (en) * 1999-07-16 2003-06-24 Advanced Technology Materials, Inc. Auto-switching gas delivery system utilizing sub-atmospheric pressure gas supply vessels
TW514720B (en) 2000-02-14 2002-12-21 Unit Instr Inc Method and apparatus for balancing resistance
US6405745B1 (en) * 2000-03-22 2002-06-18 Delphi Technologies, Inc. Ultra accurate gas injection system
US6443174B2 (en) 2000-07-08 2002-09-03 Daniel T. Mudd Fluid mass flow control valve and method of operation
US6561218B2 (en) 2000-07-25 2003-05-13 Fugasity Corporation Small internal volume fluid mass flow control apparatus
US7061595B2 (en) * 2000-08-02 2006-06-13 Honeywell International Inc. Miniaturized flow controller with closed loop regulation
US6539968B1 (en) * 2000-09-20 2003-04-01 Fugasity Corporation Fluid flow controller and method of operation
SE518058C2 (sv) * 2000-12-22 2002-08-20 Alfa Laval Ab Komponent för att stödja ett filterorgan i en portkanal till en plattvärmeväxlare, anordning innefattande ett rörformigt filterorgan och nämnda komponent samt plattvärmeväxlare innefattande ett rörformigt filterorgan och nämnda komponent
BR0208609A (pt) * 2001-03-22 2004-03-02 Fernando Milanes Garcia Moreno Método e sistema eletrônico para criação instantânea e armazenagem de histogramas de consumo em pontos de entrada de água potável
WO2002084156A1 (en) * 2001-04-11 2002-10-24 Asco Controls, L.P. Double block valve with proving system
EP1384121A2 (en) 2001-04-24 2004-01-28 Unit Instruments, Inc. System and method for a mass flow controller
US7010464B2 (en) * 2001-07-25 2006-03-07 Schneider Automation Inc. Mobile HVAC cavity test device, method, and computer product
US6627465B2 (en) * 2001-08-30 2003-09-30 Micron Technology, Inc. System and method for detecting flow in a mass flow controller
CN1606721A (zh) * 2001-10-12 2005-04-13 霍里巴斯特克公司 制造和使用质量流量设备的系统和方法
WO2003034169A1 (fr) * 2001-10-18 2003-04-24 Ckd Corporation Regulateur de debit par emission d'impulsions et procede de regulation de debit par emissions d'impulsions
US6711956B2 (en) * 2001-10-31 2004-03-30 Macronix International Co., Ltd. Method and apparatus for regulating exhaust pressure in evacuation system of semiconductor process chamber
US6901808B1 (en) * 2002-02-12 2005-06-07 Lam Research Corporation Capacitive manometer having reduced process drift
US7252011B2 (en) * 2002-03-11 2007-08-07 Mks Instruments, Inc. Surface area deposition trap
DE10216143A1 (de) * 2002-04-12 2003-10-23 Bayer Ag Vorrichtung zur Dosierung von Gasen
JP4115447B2 (ja) * 2002-05-02 2008-07-09 ロイヤル ソブリン インコーポレーティッド ラミネーターのローラ駆動装置
US6736005B2 (en) 2002-05-28 2004-05-18 Mcmillan Company High accuracy measuring and control of low fluid flow rates
US7000464B2 (en) 2002-05-28 2006-02-21 Mcmillan Company Measuring and control of low fluid flow rates with heated conduit walls
US6868862B2 (en) * 2002-06-24 2005-03-22 Mks Instruments, Inc. Apparatus and method for mass flow controller with a plurality of closed loop control code sets
US6948508B2 (en) * 2002-06-24 2005-09-27 Mks Instruments, Inc. Apparatus and method for self-calibration of mass flow controller
US6712084B2 (en) 2002-06-24 2004-03-30 Mks Instruments, Inc. Apparatus and method for pressure fluctuation insensitive mass flow control
US7004191B2 (en) * 2002-06-24 2006-02-28 Mks Instruments, Inc. Apparatus and method for mass flow controller with embedded web server
US7809473B2 (en) * 2002-06-24 2010-10-05 Mks Instruments, Inc. Apparatus and method for pressure fluctuation insensitive mass flow control
GB2419676B8 (en) * 2002-06-24 2008-09-03 Mks Instr Inc Mass flow calibrator
US6810308B2 (en) 2002-06-24 2004-10-26 Mks Instruments, Inc. Apparatus and method for mass flow controller with network access to diagnostics
KR101006537B1 (ko) * 2002-06-24 2011-01-07 엠케이에스 인스트루먼츠 인코포레이티드 압력변동에 영향을 받지 않는 질량 유량 제어장치
US7136767B2 (en) * 2002-06-24 2006-11-14 Mks Instruments, Inc. Apparatus and method for calibration of mass flow controller
US20030234047A1 (en) * 2002-06-24 2003-12-25 Ali Shajii Apparatus and method for dual processor mass flow controller
US20030234045A1 (en) * 2002-06-24 2003-12-25 Ali Shajii Apparatus and method for mass flow controller with on-line diagnostics
US7552015B2 (en) * 2002-06-24 2009-06-23 Mks Instruments, Inc. Apparatus and method for displaying mass flow controller pressure
WO2004010234A2 (en) * 2002-07-19 2004-01-29 Celerity Group, Inc. Methods and apparatus for pressure compensation in a mass flow controller
CN100344941C (zh) * 2002-07-19 2007-10-24 迅捷公司 具有公共参考臂的可变电阻传感器
US6789558B2 (en) * 2002-09-04 2004-09-14 Hr Textron, Inc. Digitally controlled direct drive valve and system and method for manufacturing the same
US7534363B2 (en) * 2002-12-13 2009-05-19 Lam Research Corporation Method for providing uniform removal of organic material
US20040112540A1 (en) * 2002-12-13 2004-06-17 Lam Research Corporation Uniform etch system
US7169231B2 (en) * 2002-12-13 2007-01-30 Lam Research Corporation Gas distribution system with tuning gas
TWI344525B (en) 2003-01-17 2011-07-01 Applied Materials Inc Combination manual/pneumatics valve for fluid control assembly
US6868869B2 (en) * 2003-02-19 2005-03-22 Advanced Technology Materials, Inc. Sub-atmospheric pressure delivery of liquids, solids and low vapor pressure gases
US6843139B2 (en) * 2003-03-12 2005-01-18 Rosemount Inc. Flow instrument with multisensors
US7381650B2 (en) * 2003-04-07 2008-06-03 Unaxis Usa Inc. Method and apparatus for process control in time division multiplexed (TDM) etch processes
US7115520B2 (en) * 2003-04-07 2006-10-03 Unaxis Usa, Inc. Method and apparatus for process control in time division multiplexed (TDM) etch process
AU2003231054A1 (en) * 2003-04-22 2004-11-19 Honeywell International Inc. Apparatus to measure differential pressure with settable pressure reference
JP3872776B2 (ja) * 2003-07-16 2007-01-24 東京エレクトロン株式会社 半導体製造装置及び半導体製造方法
US6909839B2 (en) * 2003-07-23 2005-06-21 Advanced Technology Materials, Inc. Delivery systems for efficient vaporization of precursor source material
JP2005079141A (ja) * 2003-08-28 2005-03-24 Asm Japan Kk プラズマcvd装置
US7137400B2 (en) * 2003-09-30 2006-11-21 Agere Systems Inc. Bypass loop gas flow calibration
JP4399227B2 (ja) * 2003-10-06 2010-01-13 株式会社フジキン チャンバの内圧制御装置及び内圧被制御式チャンバ
US20050095859A1 (en) * 2003-11-03 2005-05-05 Applied Materials, Inc. Precursor delivery system with rate control
NO322167B1 (no) * 2003-11-05 2006-08-21 Abb As Fremgangsmåte og anordning for å detektere vanngjennombrudd ved brønnproduksjon av olje og gass, samt anvendelse av fremgangsmåten i en olje- og gass-produksjonsprosess
US7437944B2 (en) * 2003-12-04 2008-10-21 Applied Materials, Inc. Method and apparatus for pressure and mix ratio control
US20050120805A1 (en) * 2003-12-04 2005-06-09 John Lane Method and apparatus for substrate temperature control
US7740024B2 (en) * 2004-02-12 2010-06-22 Entegris, Inc. System and method for flow monitoring and control
US6973375B2 (en) * 2004-02-12 2005-12-06 Mykrolis Corporation System and method for flow monitoring and control
US7628860B2 (en) * 2004-04-12 2009-12-08 Mks Instruments, Inc. Pulsed mass flow delivery system and method
US7628861B2 (en) * 2004-12-17 2009-12-08 Mks Instruments, Inc. Pulsed mass flow delivery system and method
KR100859653B1 (ko) * 2004-05-25 2008-09-23 코비디엔 아게 유동 제어 장치용 유동 감시 시스템
US20060000509A1 (en) * 2004-07-01 2006-01-05 Pozniak Peter M Fluid flow control device and system
US7216019B2 (en) * 2004-07-08 2007-05-08 Celerity, Inc. Method and system for a mass flow controller with reduced pressure sensitivity
US7412986B2 (en) * 2004-07-09 2008-08-19 Celerity, Inc. Method and system for flow measurement and validation of a mass flow controller
JP2008506116A (ja) * 2004-07-09 2008-02-28 セレリティ・インコーポレイテッド フロー測定およびマスフロー調整器の検証のための方法およびシステム
US7150201B2 (en) * 2004-12-15 2006-12-19 Celerity, Inc. System and method for measuring flow
US7225085B2 (en) * 2005-02-25 2007-05-29 The Regents Of The University Of California Precision gas flow meter
US7376520B2 (en) * 2005-03-16 2008-05-20 Lam Research Corporation System and method for gas flow verification
US7237535B2 (en) * 2005-04-11 2007-07-03 Honeywell International Inc. Enhanced accuracy fuel metering system and method
US20070021935A1 (en) * 2005-07-12 2007-01-25 Larson Dean J Methods for verifying gas flow rates from a gas supply system into a plasma processing chamber
CN100444310C (zh) * 2005-12-07 2008-12-17 北京北方微电子基地设备工艺研究中心有限责任公司 一种质量流量控制器在线校验的方法
US7971604B2 (en) 2006-04-20 2011-07-05 Hitachi Metals, Ltd. Flow controller delivery of a specified-quantity of a fluid
WO2007142850A2 (en) * 2006-06-02 2007-12-13 Applied Materials Gas flow control by differential pressure measurements
US7932181B2 (en) * 2006-06-20 2011-04-26 Lam Research Corporation Edge gas injection for critical dimension uniformity improvement
CN101187660B (zh) * 2006-07-18 2012-01-11 中国石油天然气集团公司 双槽式孔板型混输计量装置
JP2008039513A (ja) * 2006-08-03 2008-02-21 Hitachi Metals Ltd 質量流量制御装置の流量制御補正方法
US7743670B2 (en) * 2006-08-14 2010-06-29 Applied Materials, Inc. Method and apparatus for gas flow measurement
US7846497B2 (en) * 2007-02-26 2010-12-07 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
US7775236B2 (en) * 2007-02-26 2010-08-17 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
US8074677B2 (en) 2007-02-26 2011-12-13 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
US7651263B2 (en) * 2007-03-01 2010-01-26 Advanced Energy Industries, Inc. Method and apparatus for measuring the temperature of a gas in a mass flow controller
US7706995B2 (en) * 2007-04-16 2010-04-27 Mks Instr Inc Capacitance manometers and methods relating to auto-drift correction
DE102008011111A1 (de) * 2007-06-29 2009-01-02 Robert Bosch Gmbh Verfahren und Vorrichtung zum Abgleich einer Regeleinrichtung
US7866345B2 (en) * 2007-09-28 2011-01-11 Circor Instrumentation Technologies, Inc. Non-clogging flow restriction for pressure based flow control devices
US7937987B2 (en) * 2007-09-28 2011-05-10 Circor Instrumentation Technologies, Inc. Filter monitor-flow meter combination sensor
JP5054500B2 (ja) * 2007-12-11 2012-10-24 株式会社フジキン 圧力制御式流量基準器
CN101978132B (zh) 2008-01-18 2015-04-29 关键系统公司 对气体流动控制器进行现场测试的方法和设备
US8050828B2 (en) * 2008-03-31 2011-11-01 GM Global Technology Operations LLC Transmission oil measurement system and method
NL2002365C2 (en) * 2008-05-26 2011-04-05 Avantium Holding B V Flow splitter and reaction assembly.
US7905139B2 (en) * 2008-08-25 2011-03-15 Brooks Instrument, Llc Mass flow controller with improved dynamic
US7826986B2 (en) * 2008-09-26 2010-11-02 Advanced Energy Industries, Inc. Method and system for operating a mass flow controller
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
TWI435196B (zh) 2009-10-15 2014-04-21 Pivotal Systems Corp 氣體流量控制方法及裝置
US8271211B2 (en) * 2009-12-09 2012-09-18 Pivotal Systems Corporation Method and apparatus for enhancing in-situ gas flow measurement performance
US8271210B2 (en) * 2009-12-09 2012-09-18 Pivotal Systems Corporation Method and apparatus for enhancing in-situ gas flow measurement performance
US8265888B2 (en) * 2009-12-09 2012-09-11 Pivotal Systems Corporation Method and apparatus for enhancing in-situ gas flow measurement performance
JP5361847B2 (ja) * 2010-02-26 2013-12-04 東京エレクトロン株式会社 基板処理方法、この基板処理方法を実行させるためのプログラムを記録した記録媒体及び基板処理装置
EP2366448B1 (en) 2010-03-16 2016-07-27 Amminex Emissions Technology A/S Method and device for controlled dosing of a gas with fluctuating supply pressure
US20110232588A1 (en) * 2010-03-26 2011-09-29 Msp Corporation Integrated system for vapor generation and thin film deposition
JP5562712B2 (ja) * 2010-04-30 2014-07-30 東京エレクトロン株式会社 半導体製造装置用のガス供給装置
US8758515B2 (en) 2010-08-09 2014-06-24 Rohm And Haas Electronic Materials Llc Delivery device and method of use thereof
US8997686B2 (en) * 2010-09-29 2015-04-07 Mks Instruments, Inc. System for and method of fast pulse gas delivery
US9348339B2 (en) 2010-09-29 2016-05-24 Mks Instruments, Inc. Method and apparatus for multiple-channel pulse gas delivery system
US8528427B2 (en) * 2010-10-29 2013-09-10 Becton, Dickinson And Company Dual feedback vacuum fluidics for a flow-type particle analyzer
US9400004B2 (en) 2010-11-29 2016-07-26 Pivotal Systems Corporation Transient measurements of mass flow controllers
US10126760B2 (en) 2011-02-25 2018-11-13 Mks Instruments, Inc. System for and method of fast pulse gas delivery
US10353408B2 (en) 2011-02-25 2019-07-16 Mks Instruments, Inc. System for and method of fast pulse gas delivery
US10031531B2 (en) 2011-02-25 2018-07-24 Mks Instruments, Inc. System for and method of multiple channel fast pulse gas delivery
JP5727596B2 (ja) * 2011-05-10 2015-06-03 株式会社フジキン 流量モニタ付圧力式流量制御装置の実ガスモニタ流量初期値のメモリ方法及び実ガスモニタ流量の出力確認方法
US8776821B2 (en) 2011-05-24 2014-07-15 Rohm And Haas Electronic Materials Llc Vapor delivery device, methods of manufacture and methods of use thereof
US8997775B2 (en) 2011-05-24 2015-04-07 Rohm And Haas Electronic Materials Llc Vapor delivery device, methods of manufacture and methods of use thereof
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
JP5755958B2 (ja) 2011-07-08 2015-07-29 株式会社フジキン 半導体製造装置の原料ガス供給装置
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP5739261B2 (ja) * 2011-07-28 2015-06-24 株式会社堀場エステック ガス供給システム
US9188989B1 (en) 2011-08-20 2015-11-17 Daniel T. Mudd Flow node to deliver process gas using a remote pressure measurement device
US9958302B2 (en) 2011-08-20 2018-05-01 Reno Technologies, Inc. Flow control system, method, and apparatus
US20160041564A1 (en) * 2012-08-20 2016-02-11 Daniel T. Mudd Reverse flow mode for regulating pressure of an accumulated volume with fast upstream bleed down
MY180796A (en) 2011-09-02 2020-12-09 First Solar Inc Feeder system and method for a vapor transport deposition system
JP5647083B2 (ja) 2011-09-06 2014-12-24 株式会社フジキン 原料濃度検出機構を備えた原料気化供給装置
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP5754853B2 (ja) * 2012-01-30 2015-07-29 株式会社フジキン 半導体製造装置のガス分流供給装置
JP5881467B2 (ja) * 2012-02-29 2016-03-09 株式会社フジキン ガス分流供給装置及びこれを用いたガス分流供給方法
ES2845173T3 (es) * 2012-05-24 2021-07-26 Air Prod & Chem Procedimiento y aparato para regular el caudal másico de un gas
JP5868796B2 (ja) * 2012-07-03 2016-02-24 株式会社堀場エステック 圧力制御装置、流量制御装置、及び、圧力制御装置用プログラム、流量制御装置用プログラム
US9243325B2 (en) 2012-07-18 2016-01-26 Rohm And Haas Electronic Materials Llc Vapor delivery device, methods of manufacture and methods of use thereof
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
DE102013105992A1 (de) * 2012-12-14 2014-07-03 Endress + Hauser Flowtec Ag Thermische Durchflussmessvorrichtung und Verfahren zur Bestimmung und/oder Überwachung eines Durchflusses eines Mediums
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9562820B2 (en) 2013-02-28 2017-02-07 Mks Instruments, Inc. Pressure sensor with real time health monitoring and compensation
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
EP3036510B1 (en) 2013-03-14 2021-06-09 Christopher Max Horwitz Pressure-based gas flow controller with dynamic self-calibration
US9454158B2 (en) 2013-03-15 2016-09-27 Bhushan Somani Real time diagnostics for flow controller systems and methods
DE102013209551A1 (de) * 2013-05-23 2014-11-27 Robert Bosch Gmbh Verfahren und Steuereinheit zur Bestimmung eines Massenstroms in einer Hochdruck-Abgas-Rückführung einer Brennkraftmaschine
US9746359B2 (en) * 2013-06-28 2017-08-29 Vyaire Medical Capital Llc Flow sensor
TWI472722B (zh) * 2013-08-07 2015-02-11 China Steel Corp A method of calculating the flow on a pipe
CN104416256B (zh) * 2013-08-23 2016-09-07 珠海格力电器股份有限公司 钎焊充氮智能监控设备
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
AU2014324630C1 (en) * 2013-09-27 2020-01-02 Perkinelmer U.S. Llc Manifolds and methods of using them to control fluid flows
CN103697214B (zh) * 2013-12-23 2017-02-08 沃尔达环境技术江苏股份有限公司 一种智慧阀门及其控制方法
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
JP6415889B2 (ja) * 2014-08-01 2018-10-31 株式会社堀場エステック 流量制御装置、流量制御装置用プログラム、及び、流量制御方法
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10401202B2 (en) 2015-07-10 2019-09-03 Pivotal Systems Corporation Method and apparatus for gas flow control
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
WO2017073038A1 (ja) * 2015-10-28 2017-05-04 株式会社フジキン 流量信号補正方法およびこれを用いた流量制御装置
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
CN105424272A (zh) * 2015-12-28 2016-03-23 黄山迈普汽车部件有限公司 一种适用于高原的真空度传感器
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10494200B2 (en) * 2016-04-25 2019-12-03 Chevron Phillips Chemical Company Lp Measurement of product pellets flow rate
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10684159B2 (en) * 2016-06-27 2020-06-16 Applied Materials, Inc. Methods, systems, and apparatus for mass flow verification based on choked flow
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10679880B2 (en) 2016-09-27 2020-06-09 Ichor Systems, Inc. Method of achieving improved transient response in apparatus for controlling flow and system for accomplishing same
US10838437B2 (en) 2018-02-22 2020-11-17 Ichor Systems, Inc. Apparatus for splitting flow of process gas and method of operating same
US11144075B2 (en) 2016-06-30 2021-10-12 Ichor Systems, Inc. Flow control system, method, and apparatus
US10303189B2 (en) 2016-06-30 2019-05-28 Reno Technologies, Inc. Flow control system, method, and apparatus
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
DE102016013958B4 (de) * 2016-11-23 2019-08-08 Drägerwerk AG & Co. KGaA Vorrichtung mit einer Pumpeinrichtung zu einer Überprüfung einer Funktionsbereitschaft eines Gasführungselementes eines Gasmesssystems
DE102016013959B4 (de) * 2016-11-23 2019-08-08 Drägerwerk AG & Co. KGaA Prüfvorrichtung zu einer Überprüfung eines Gasführungselementes
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
CA3190515C (en) * 2016-12-12 2023-09-26 Ventbuster Holdings Inc. Gas meter and associated methods
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
GB2557670B (en) * 2016-12-15 2020-04-15 Thermo Fisher Scient Bremen Gmbh Improved gas flow control
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
JP7245600B2 (ja) * 2016-12-15 2023-03-24 株式会社堀場エステック 流量制御装置、及び、流量制御装置用プログラム
US10031004B2 (en) * 2016-12-15 2018-07-24 Mks Instruments, Inc. Methods and apparatus for wide range mass flow verification
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
TWI671792B (zh) 2016-12-19 2019-09-11 荷蘭商Asm知識產權私人控股有限公司 基板處理設備
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10663337B2 (en) 2016-12-30 2020-05-26 Ichor Systems, Inc. Apparatus for controlling flow and method of calibrating same
US10409295B2 (en) * 2016-12-31 2019-09-10 Applied Materials, Inc. Methods and apparatus for enhanced flow detection repeatability of thermal-based mass flow controllers (MFCS)
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10983538B2 (en) 2017-02-27 2021-04-20 Flow Devices And Systems Inc. Systems and methods for flow sensor back pressure adjustment for mass flow controller
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
JP7105765B2 (ja) * 2017-05-11 2022-07-25 株式会社堀場エステック 液体材料気化供給装置及び制御プログラム
DE102017004727A1 (de) * 2017-05-17 2018-11-22 Drägerwerk AG & Co. KGaA Verfahren zur Kalibrierung eines Gassensors
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
JP7008499B2 (ja) * 2017-12-27 2022-01-25 株式会社堀場エステック 校正データ作成装置及び校正データ作成方法、並びに、流量制御装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN116732497A (zh) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020005149A2 (en) * 2018-06-29 2020-01-02 Provtagaren Ab Method for digital flow measurement in pulsating flows
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
AU2019351299B2 (en) 2018-09-28 2024-07-25 Emerson Automation Solutions Final Control US LP Pilot-operated relief value assembly
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11675374B2 (en) 2018-10-26 2023-06-13 Illinois Tool Works Inc. Mass flow controller with advanced zero trending diagnostics
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
JP2020139864A (ja) * 2019-02-28 2020-09-03 株式会社堀場エステック 流量算出システム、流量算出システム用プログラム、流量算出方法、及び、流量算出装置
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11404290B2 (en) * 2019-04-05 2022-08-02 Mks Instruments, Inc. Method and apparatus for pulse gas delivery
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20210139347A (ko) * 2019-04-25 2021-11-22 가부시키가이샤 후지킨 유량 제어 장치
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11073845B2 (en) * 2019-08-26 2021-07-27 Hitachi Metals, Ltd. Parasitic flow correction method and apparatus
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
US11789472B2 (en) * 2020-01-21 2023-10-17 Horiba Stec, Co., Ltd. Gas delivery system with electrical backplane
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
TW202147543A (zh) 2020-05-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 半導體處理系統
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
US11585272B2 (en) 2020-06-25 2023-02-21 Pratt & Whitney Canada Corp. System and method for detection of excessive flow in a fluid system
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
JP7535461B2 (ja) * 2021-01-15 2024-08-16 株式会社堀場エステック 圧力制御システム、圧力制御方法、及び、圧力制御プログラム
CN112806341B (zh) * 2021-02-25 2023-05-09 吉林大学 一种基于层流层的果园对靶喷施控制系统和方法
WO2022186971A1 (en) 2021-03-03 2022-09-09 Ichor Systems, Inc. Fluid flow control system comprising a manifold assembly
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
CN118511059A (zh) * 2021-08-13 2024-08-16 Tsi有限公司 压差液体流量控制器
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1097834A (en) * 1913-11-05 1914-05-26 Gustavus W Anderson Gas-meter-testing device.
US2015839A (en) * 1928-12-19 1935-10-01 Brown Instr Co Compensated flow meter
US3958443A (en) * 1974-06-17 1976-05-25 Air Products And Chemicals, Inc. Apparatus for proving and calibrating cryogenic flow meters
US4253156A (en) * 1979-06-22 1981-02-24 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Automatic flowmeter calibration system
US4285245A (en) * 1979-12-06 1981-08-25 Precision Machine Products, Inc. Method and apparatus for measuring and controlling volumetric flow rate of gases in a line
US4718443A (en) * 1987-02-06 1988-01-12 Conoco Inc. Mass flowmeter apparatus
GB8720356D0 (en) * 1987-08-28 1987-10-07 Thorn Emi Flow Measurement Ltd Fluid meter
JPH03156509A (ja) * 1989-11-14 1991-07-04 Stec Kk マスフローコントローラ
US5072416A (en) * 1990-01-16 1991-12-10 Calibron Systems, Inc. Method and apparatus for calibrating a flowmeter using a master meter and a prover
US5220517A (en) * 1990-08-31 1993-06-15 Sci Systems, Inc. Process gas distribution system and method with supervisory control
GB2263776B (en) * 1992-01-28 1995-05-17 Endress & Hauser Ltd Fluid mass flowmeter
JP2692770B2 (ja) * 1992-09-30 1997-12-17 シーケーディ株式会社 マスフローコントローラ流量検定システム
US5307668A (en) * 1992-10-05 1994-05-03 Badger Meter, Inc. Gas density meter and method
US5410495A (en) * 1993-07-20 1995-04-25 Texas Instruments Incorporated Apparatus, systems, and methods for diagnosing anomalous mass flow controller operation
DE4441874A1 (de) * 1994-11-24 1996-05-30 Bosch Gmbh Robert Vorrichtung zur Messung der Masse eines strömenden Mediums
US5524084A (en) * 1994-12-30 1996-06-04 Hewlett-Packard Company Method and apparatus for improved flow and pressure measurement and control
US5641915A (en) * 1995-02-03 1997-06-24 Lockheed Idaho Technologies Company Device and method for measuring multi-phase fluid flow in a conduit using an elbow flow meter
TW335448B (en) * 1996-03-11 1998-07-01 Applied Materials Inc Gas flow control method
JP3580645B2 (ja) * 1996-08-12 2004-10-27 忠弘 大見 圧力式流量制御装置
US5744695A (en) * 1997-01-10 1998-04-28 Sony Corporation Apparatus to check calibration of mass flow controllers
US5968588A (en) * 1997-03-17 1999-10-19 Applied Materials, Inc. In-situ liquid flow rate estimation and verification by sonic flow method
US5865205A (en) * 1997-04-17 1999-02-02 Applied Materials, Inc. Dynamic gas flow controller

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007525736A (ja) * 2003-06-25 2007-09-06 エム ケー エス インストルメンツ インコーポレーテッド インサイチュ式フロー検証及び較正システム及び方法
KR101076833B1 (ko) 2003-06-25 2011-10-25 엠케이에스 인스트루먼츠 인코포레이티드 인-시츄 흐름 검증 및 교정을 위한 시스템 및 방법
JP2008522028A (ja) * 2004-11-29 2008-06-26 東京エレクトロン株式会社 固体前駆体供給システムにおいて流量を測定する方法およびシステム

Also Published As

Publication number Publication date
GB0122961D0 (en) 2001-11-14
US6119710A (en) 2000-09-19
CN1350668A (zh) 2002-05-22
KR20020000867A (ko) 2002-01-05
CA2366580A1 (en) 2000-12-07
TW468101B (en) 2001-12-11
GB0123880D0 (en) 2001-11-28
GB2365980B (en) 2003-03-26
GB2365980A (en) 2002-02-27
WO2000073868A1 (en) 2000-12-07
AU2039600A (en) 2000-12-18
SG102683A1 (en) 2004-03-26
US6216726B1 (en) 2001-04-17

Similar Documents

Publication Publication Date Title
JP2003501637A (ja) リアルタイムの流量測定と修正が可能な広範囲ガス流動システム
EP2901227B1 (en) Method and apparatus for self verification of pressure based mass flow controllers
US7461549B1 (en) Mass flow verifiers capable of providing different volumes, and related methods
JP4086057B2 (ja) 質量流量制御装置及びこの検定方法
US8015995B2 (en) System and method for flow monitoring and control
US7610117B2 (en) System and method for flow monitoring and control
JP5613752B2 (ja) マルチ反対称最適制御性能構成を使用する流量比制御装置を含むガス送出方法及びシステム
JP5688026B2 (ja) 二重モードマスフロー確証及びマスフロー送給のシステム及び方法
CN100538573C (zh) 从具有流量控制装置的气体供给设备向容器分流地供给气体的气体分流供给装置及气体分流供给方法
CN101563663B (zh) 流量控制装置的检定方法
WO2000063756A1 (fr) Dispositif d'alimentation en fluide du type derivation parallele, et procede et dispositif de commande du debit d'un systeme de pression du type a fluide variable utilise dans ledit dispositif
JP5082832B2 (ja) 流量制御装置、流量制御方法及び流量制御装置の検定方法
JP2008089607A (ja) 質量流量制御装置及びこの調整方法
CN100543623C (zh) 一种新型质量流量控制器在线校验设备
GB2373054A (en) Computer program for performing a method calibrating and correcting mass flow measurement in real time
WO2002033361A2 (en) Apparatus and method for maintaining a constant pressure drop across a gas metering unit
JP2006153893A (ja) 質量流量制御装置
JP3311762B2 (ja) マスフローコントローラと半導体装置の製造装置
TW200523532A (en) Device, method, and system for controlling fluid flow
JPS60138618A (ja) 気体流量測定制御装置
JPWO2021216352A5 (ja)