CN1124647C - 半导体器件中的互连结构及其制作方法 - Google Patents

半导体器件中的互连结构及其制作方法 Download PDF

Info

Publication number
CN1124647C
CN1124647C CN98126098A CN98126098A CN1124647C CN 1124647 C CN1124647 C CN 1124647C CN 98126098 A CN98126098 A CN 98126098A CN 98126098 A CN98126098 A CN 98126098A CN 1124647 C CN1124647 C CN 1124647C
Authority
CN
China
Prior art keywords
layer
window
make
bronze medal
conductive
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN98126098A
Other languages
English (en)
Other versions
CN1226080A (zh
Inventor
辛德·里德塞码·辛普森
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NXP USA Inc
Original Assignee
Motorola Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Motorola Inc filed Critical Motorola Inc
Publication of CN1226080A publication Critical patent/CN1226080A/zh
Application granted granted Critical
Publication of CN1124647C publication Critical patent/CN1124647C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76874Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroless plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1068Formation and after-treatment of conductors
    • H01L2221/1073Barrier, adhesion or liner layers
    • H01L2221/1084Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L2221/1089Stacks of seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemically Coating (AREA)
  • Electroplating Methods And Accessories (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

在半导体衬底(10)上淀积介电层(28)在半导体器件中制作导电互连(38)。对介电层(28)进行图形化以制作互连窗口(29)。在互连窗口(29)中制作氮化钽势垒层(30)。在氮化钽势垒层(30)上制作含有钯-锡胶体的催化层(31)。在催化层(31)上淀积无电铜层(32)。在无电铜层(32)上制作电镀铜层(34),且无电铜层(32)用作电镀铜层(34)的引晶层。清除部分电镀铜层(34),在互连窗口(29)中形成铜互连(38)。

Description

半导体器件中的互连结构及其制作方法
技术领域
本发明一般涉及到半导体器件,更具体地说是涉及到半导体器件中的互连结构及其制作方法。
背景技术
在半导体工业中,惯常是用化学汽相淀积(CVD)和物理汽相淀积(PVD)工艺来制作导电互连。由于成本低、容易淀积以及有现成的设备,目前PVD工艺更受欢迎。但随着半导体器件临界尺寸越来越小,接触和通口的形状比增加,用PVD工艺就难以在这些窗口之中制作共形薄膜。这样,目前的PVD工艺在高形状比窗口中提供的台阶覆盖就很差,所制作的互连结构常常含有空洞。这些空洞降低了互连结构的总体导电率,对半导体器件的可靠性有不利的影响。另一方面,CVD工艺通常能够提供比PVD工艺更共形的薄膜。但CVD工艺需要更昂贵的工艺设备,要经常停机清洗,而且要使用高价低效的化学原材料。此外,用CVD方法在高形状比窗口中制作的互连结构也有形成空洞的问题,且这些薄膜对下方介电材料的粘附常常也出现问题。因此,需要有一种能够在高形状比窗口中淀积高共形薄膜并能够用来降低互连结构中空洞形成的金属化工艺。
发明内容
本发明即为达到上述目的而设计。
根据本发明的一种制作半导体器件中互连结构的方法,其特征是下列步骤:提供半导体衬底;制作介电层覆盖半导体衬底;在介电层中制作窗口,其中该窗口具有底部和侧壁;在窗口的底部和侧壁上制作导电势垒层;在窗口的底部和侧壁上制作包括钯-锡合金的催化层;用无电镀覆工艺在窗口的底部和侧壁上制作铜层,其中的铜层在已经制作催化层之后被制作在窗口的底部和侧壁上;以及制作导电金属层覆盖铜层,其中导电金属层用电镀工艺制作。
根据本发明的一种制作半导体器件中互连结构的方法,其特征是下列步骤:提供半导体衬底;制作介电层覆盖半导体衬底;在介电层中制作窗口,其中该窗口具有底部和侧壁;在窗口的底部和侧壁上制作导电势垒层;在导电势垒层上制作包括钯-锡合金的催化层;用无电镀覆工艺在窗口中共形淀积第一铜层,其覆盖所述催化层;用电镀工艺在窗口的底部和侧壁上制作第二铜层,其中第二铜层紧靠第一铜层,且第一铜层用作电镀工艺的引晶层;以及对第二铜层和第一铜层进行抛光,以便在窗口中制作导电互连。
根据本发明的一种制作半导体器件中互连结构的方法,其特征是下列步骤:提供半导体衬底;在半导体衬底中制作掺杂区;制作第一介电层覆盖半导体衬底;在第一介电层中制作第一窗口,其中第一窗口具有底部和侧壁;在第一窗口的底部和侧壁上制作第一导电势垒层;在第一窗口中包括钯-锡合金的第一催化层,其覆盖所述第一导电势垒层;用无电镀覆工艺在第一窗口的底部和侧壁上制作第一铜层,第一铜层覆盖第一导电势垒层;用电镀工艺在第一窗口的底部和侧壁上制作第二铜层,第二铜层覆盖第一铜层;对第一铜层和第二铜层进行抛光,以形成第一导电互连;制作第二介电层覆盖第一导电互连;在第二介电层中制作第二窗口以暴露部分第一导电互连,其中第二窗口具有底部和侧壁;在第二窗口的底部和侧壁上制作第二导电势垒层;在第二窗口中共形形成包括钯-锡合金的第二催化层,其覆盖第二导电势垒层;用无电镀覆工艺在第二窗口的底部和侧壁上制作第三铜层,第三铜层覆盖第二导电势垒层;用电镀工艺在第二窗口的底部和侧壁上制作第四铜层,第四铜层覆盖第三铜层;以及对第三铜层和第四铜层进行抛光,以形成第二导电互连。
根据本发明的一种制作半导体器件中互连结构的方法,其特征是下列步骤:提供半导体衬底;制作介电层覆盖半导体衬底;在介电层中制作窗口,其中该窗口具有底部和侧壁;在窗口的底部和侧壁上制作导电势垒层;在窗口中制作包括钯-锡合金的催化层,其覆盖导电势垒层;用无电镀覆工艺在窗口的底部和侧壁上制作第一铜层,其中第一铜层在已经制作催化层之后制作;用电镀工艺在窗口的底部和侧壁上制作第二铜层,其中第二铜层紧靠第一铜层,且第一铜层用作电镀工艺的引晶层;以及对第二铜层和第一铜层进行抛光,以便在窗口中形成导电互连。
根据本发明的一种半导体器件中的互连结构,其特征是:半导体衬底;覆盖半导体衬底的介电层;穿透介电层的窗口;位于窗口中的导电势垒层;导电势垒层上和窗口中的钯-锡层;位于窗口中且覆盖导电势垒层和钯-锡层的无电铜层;以及位于窗口中且覆盖无电铜层的电镀铜层。
附图说明
图1-8剖面图示出了根据本发明一个实施例的工艺步骤。
图9剖面图示出了根据本发明另一实施例的互连结构。
图10剖面图示出了根据本发明另一实施例的互连结构。
具体实施方式
图1所示的是根据本发明一个实施例的部分集成电路结构5。此集成电路结构包含半导体衬底10、场隔离区12、晶体管14、导电栓24、介电层22、腐蚀停止层26和介电层28。晶体管14包含源/漏区16、栅介电层18和栅电极20。在一个实施例中,半导体衬底10是单晶硅衬底。作为变通,半导体衬底10也可以是绝缘体上硅衬底、蓝宝石上硅衬底之类。
在一个实施例中,场隔离区12是用常规腐蚀和化学机械抛光方法制作的沟槽隔离区。作为变通,场隔离区12可以是用诸如硅局部氧化(LOCOS)、多晶缓冲LOCOS(PBL)、多晶硅包封局部氧化(PELOX)之类的常规方法制作的场氧化区。
在一个实施例中,栅介电层18是用对部分半导体衬底10进行热氧化的方法制作的热二氧化硅层。作为变通,栅介电层18可以是氮化硅层、氮氧化硅层、化学汽相淀积的二氧化硅层、氮化氧化物层、或它们的组合。
在一个实施例中,栅电极20是多晶硅层。作为变通,栅电极20可以是钨或钼之类的金属层、氮化钛或氮化钨之类的氮化金属层、或它们的组合。此外,栅电极20可以是多晶硅层上的含有诸如硅化钨、硅化钛或硅化钴之类的金属硅化物的多层结构层。
在一个实施例中,介电层22是用TEOS作为源气体制作的等离子体淀积氧化物。作为变通,介电层22可以是氮化硅层、PSG层、BPSG层、SOG层、氮氧化硅层、聚酰亚胺层、低介电常数绝缘体、或它们的组合。
在一个实施例中,导电栓24用钛/氮化钛势垒层和钨接触填充制作。在淀积钨部分之后,用常规腐蚀或化学机械抛光方法清除下方的钛/氮化钛势垒层以形成导电栓24。作为变通,导电栓24可以用多晶硅作为接触填充材料来制作。
在一个实施例中,腐蚀停止层26是用常规等离子体淀积方法制作的氮氧化硅层。作为变通,腐蚀停止层26可以是等离子体淀积的氮化硅层、氮化硼层之类。
在一个实施例中,介电层28是用TEOS作为源气体制作的等离子体淀积的氧化物层。作为变通,介电层28可以是氮化硅层、PSG层、BPSG层、SOG层、氮氧化硅层、聚酰亚胺层、低介电常数绝缘体之类。此外,前述各介电材料的组合也可以用来制作介电层28。
在图2中,部分介电层28和部分腐蚀停止层26随后被清除以暴露部分导电栓24并形成互连窗口29。然后在互连窗口29中制作导电势垒层30。在一个实施例中,导电势垒层30是氮化钽层。作为变通,导电势垒层30可以是氮化钛层、氮化钨层、钽硅氮化物层、钽层、钛-钨(TiW)层之类。导电势垒层30可以用常规溅射或化学汽相淀积方法来淀积。
然后用常规方法制作用于无电淀积工艺的催化层31覆盖导电势垒层30。在一个实施例中,催化层31包含钯-锡(Pd-Sn)胶体。在此特定实施例中,在制作含有钯-锡胶体的层之前,导电势垒层在酸性溶液中被腐蚀,以便清除可能已形成在导电势垒层30外表面上的任何氧化物。例如,若导电势垒层30含有氮化钛,则可用硫酸溶液来清除可能已形成在氮化钛势垒层顶表面上的任何氧化钛。同样,若导电势垒层30含有钽或氮化钽,则可以用氢氟酸溶液来清除形成在钽或氧化钽势垒层顶表面上的五氧化钽。已发现导电势垒层30的腐蚀会提高催化层31与下方导电势垒层30的粘附性。应该理解的是,若导电势垒层30不易形成氧化物,则可以不需要上述的腐蚀过程。作为变通,催化层31可以用铜或金之类的其它金属胶体或其它导电材料来制作。例如,可以用铂酸在导电势垒层30上制作含有铂的催化层。同样,可以用氯化钯在导电势垒层30上制作含有钯的催化层。
然后用无电淀积工艺制作导电引晶层32覆盖催化层31。如图2所示,导电引晶层32的厚度不足以填充互连窗口29,但足以传导电镀所要求的电流密度,以致能够用作电镀引晶层。在一个实施例中,导电引晶层32是厚度约为500埃的铜层。在此特定实施例中,用含有铜离子、甲醛和乙二胺四乙酸(EDTA)的无电镀覆溶液,将无电铜层镀覆到下方的催化层31上。
作为变通,也可以用其它的常规铜电镀溶液或用能够以无电工艺镀在催化层31上的其它导电材料来制作导电引晶层32。例如,导电引晶层32可以是无电镍、无电锡、无电银、无电钯或无电金。
特别需要注意的是,无电淀积工艺使导电引晶层32能够共形淀积到高形状比的窗口中,具有良好的台阶覆盖性。
在图3中,再用电镀工艺制作导电金属层34覆盖导电引晶层32。如图3所示,导电金属层34的厚度足以填充互连窗口29。在一个实施例中,导电金属层34是用含有铜(Cu)、硫酸铜(Cu2SO4)、硫酸(H2SO4)和诸如来自盐酸(HCl)的氯离子的电镀溶液所淀积的铜层。在此特定的实施例中,为了改善铜电镀的均匀性,在铜电镀工艺过程中,对半导体衬底边缘附近的电流密度进行了修正。作为变通,可以用常规电镀方法来制作导电金属层34,并可以用镍或金之类的其它导电材料来制作。特别要注意的是,导电引晶层32用作上述电镀工艺的引晶层。因此,由于能够共形淀积在高形状比窗口中的导电引晶层32使导电金属层34能够随后以高的共形性淀积在高形状比的窗口中,故具有高形状比的窗口能够被导电金属层34可靠地填充。
在图4中,清除部分导电金属层34、导电引晶层32、催化层31和导电势垒层30,以便在图2所示的互连窗口29中制作导电互连39,其中导电互连39包含导电势垒层30的剩余部分36、催化层31的剩余部分35、导电引晶层32的剩余部分37以及导电金属层34的剩余部分28。在导电金属层34和导电引晶层32是铜、催化层31包含钯且导电势垒层30包含钛、钨或钽的特定实施例中,可以用使用含有过氧化氢、柠檬酸铵、氧化铝、1,2,4-三唑和去离子水的抛光胶的化学机械抛光工艺来制作导电互连39。作为变通,可以用诸如离子束研磨、反应离子束刻蚀和等离子体刻蚀之类的常规腐蚀方法,或用腐蚀和抛光相结合的方法来制作导电互连39。应该理解的是,无电镀覆工艺与电镀工艺的结合,使低电阻的导电互连39能够在高形状比的窗口中可靠地制作。
然后制作势垒层40覆盖导电互连39。在一个实施例中,势垒层40是等离子体淀积的氮化硅层。作为变通,势垒层40也可以是等离子体淀积的氮氧化硅层、氮化硼层之类。势垒层40被用来防止导电互连39中的金属原子扩散进入随后在导电互连39上淀积的上方介电层中。例如,若导电互连39含有铜,则势垒层40用作铜的扩散势垒。
制作层间介电层48覆盖势垒层40。在一个实施例中,如图5所示,层间介电层48包含介电层42、腐蚀停止层44和介电层46。
介电层42可以是用TEOS作为源气体而淀积的等离子体淀积氧化物。作为变通,介电层42可以是PSG层、BPSG层、SOG层、聚酰亚胺层、低介电常数绝缘体之类。
腐蚀停止层44可以是等离子体淀积的氮氧化硅层。作为变通,腐蚀停止层44可以是等离子体淀积的氮化硅层、氮化硼层之类。
介电层46可以是用TEOS作为源气体而制作的等离子体淀积氧化物。作为变通,介电层46可以是PSG层、BPSG层、SOG层、聚酰亚胺层、低介电常数绝缘体之类。应该理解的是,层间介电层48不必用不同的介电材料制作。例如,层间介电层48可以用诸如等离子体淀积的氧化物、PSG、BPSG、SOG、聚酰亚胺、低介电常数绝缘体之类的单一介电材料来制作。
在图6中,部分层间介电层48和部分势垒层40被图形化,以便在层间介电层48中制作双重镶嵌的窗口50。如图6所示,双重镶嵌窗口50包含互连部分52和通道部分54,其中通道部分54暴露出一部分导电互连39。在图形化工艺过程中,腐蚀停止层44在介电层46被腐蚀以形成互连部分52时,对介电层42进行保护。
在图7中,在双重镶嵌窗口50中制作导电势垒层52。在一个实施例中,导电势垒层52是氮化钽层。作为变通,导电势垒层52可以是氮化钛层、氮化钨层、钽硅氮化物层、钽层、钛-钨(TiW)层之类。可以用常规溅射或化学汽相淀积方法来淀积导电势垒层52。
然后用常规方法制作用于无电淀积工艺的催化层53覆盖导电势垒层52。在一个实施例中,催化层53包含钯-锡(Pd-Sn)胶体。在此特定实施例中,为了清除导电势垒层52外表面上可能已经形成的任何氧化物,在制作含有钯-锡胶体的层之前,在酸溶液中对导电势垒层52进行腐蚀。例如,若导电势垒层52含有氮化钛,则可用硫酸溶液来清除可能已形成在氮化钛势垒层顶表面上的任何氧化钛。同样,若导电势垒层52含有钽或氮化钽,则可以用氢氟酸溶液来清除形成在钽或氧化钽势垒层顶表面上的任何五氧化钽。已发现导电势垒层52的腐蚀会提高催化层53与下方导电势垒层52的粘附性。应该理解的是,若导电势垒层52不易形成氧化物,则可以不需要上述的腐蚀过程。作为变通,催化层53可以用铜或金之类的其它金属胶体或其它导电材料来制作。例如,可以用铂酸在导电势垒层52上制作含有铂的催化层。同样,可以用氯化钯在导电势垒层52上制作含有钯的催化层。
然后用无电淀积工艺制作导电引晶层54覆盖催化层53。如图7所示,导电引晶层54的厚度不足以填充双重镶嵌窗口50。在一个实施例中,导电引晶层54是厚度约为500埃的铜层。在此特定实施例中,用含有铜离子、甲醛和乙二胺四乙酸(EDTA)的无电镀覆溶液,将无电铜层镀覆到下方的催化层53上。
作为变通,也可以用其它的常规铜电镀溶液或用能够以无电工艺镀在催化层53上的其它导电材料来制作导电引晶层54。例如,导电引晶层54可以是无电镍、无电锡、无电银、无电钯或无电金。
特别需要注意的是,无电淀积工艺使导电引晶层54能够共形淀积到高形状比的窗口中,具有良好的台阶覆盖性。
然后在图8中,用电镀工艺制作导电金属层覆盖导电引晶层54。导电金属层的厚度足以填充双重镶嵌窗口50。在一个实施例中,导电金属层是用含有铜(Cu)、硫酸铜(Cu2SO4)、硫酸(H2SO4)和诸如来自盐酸(HCl)的氯离子的电镀溶液所淀积的铜层。在此特定的实施例中,如先前图3所述,为了改善铜电镀的均匀性,在铜电镀工艺过程中,对半导体衬底边缘附近的电流密度进行了修正。作为变通,可以用常规电镀方法来制作导电金属层,或者可以用镍或金之类的其它导电材料来制作。特别要注意的是,导电引晶层54用作上述电镀工艺的引晶层。因此,由于能够共形淀积在高形状比窗口中的导电引晶层54使导电金属层能够随后以高的共形性淀积在高形状比的窗口中,故具有高形状比的窗口能够被导电金属层可靠地填充。
在图8中,清除掉部分导电金属层、导电引晶层54、催化层53和导电势垒层52,以便在双重镶嵌窗口50中制作导电互连62,其中导电互连62包含导电势垒层52的剩余部分57、催化层53的剩余部分58、导电引晶层54的剩余部分59以及导电金属层的剩余部分60。如先前图4所述,在导电金属层和导电引晶层54是铜、催化层53包含钯、且导电势垒层52包含钛、钨或钽的特定实施例中,可以用使用含有过氧化氢、柠檬酸铵、氧化铝、1,2,4-三唑和去离子水的抛光胶的化学机械抛光工艺来制作导电互连62。作为变通,可以用诸如离子束研磨、反应离子束刻蚀和等离子体刻蚀之类的常规腐蚀方法,或用腐蚀和抛光相结合的方法来制作导电互连62。应该理解的是,无电镀覆工艺与电镀工艺的结合使低电阻的导电互连62能够在高形状比的窗口中可靠地制作。
然后制作势垒层64覆盖导电互连62。在一个实施例中,势垒层64是等离子体淀积的氮化硅层。作为变通,势垒层64也可以是等离子体淀积的氮氧化硅层、氮化硼层之类。势垒层64被用来防止导电互连62中的金属原子扩散进入随后在导电互连62上淀积的上方介电层中。例如,若导电互连62含有铜,则势垒层64用作铜的扩散势垒。
应该理解的是,为了制造额外的导电互连层,可重复图5-8所述的各个步骤。
图9所示是根据本发明另一实施例制作的部分集成电路结构15。具体地说,图9示出了用单一镶嵌金属化制作多层互连。在本发明的这一实施例中,介电层70制作在图4所示的集成电路结构上。介电层70可以是用TEOS作为源气体而制作的等离子体淀积氧化物层。作为变通,介电层70可以是氮化硅层、PSG层、BPSG层、SOG层、氮氧化硅层、聚酰亚胺层、低介电常数绝缘体之类。此外,前述各介电材料的组合也可以用来制作介电层70。例如,介电层70可以包含等离子体淀积氧化物层上的氮氧化硅层。
然后清除部分介电层70和部分势垒层40以便制作暴露部分导电互连38的通口。再如先前图2和图3所述,在通口中制作导电势垒层、催化层、导电引晶层和导电金属层。然后如先前图4所述,清除部分导电金属层、导电引晶层、催化层和导电势垒层,以便在通口中制作导电互连76,其中导电互连76包含导电势垒层的剩余部分72、催化层的剩余部分73、导电引晶层的剩余部分74和导电金属层的剩余部分75。
然后制作腐蚀停止层78覆盖导电互连76。腐蚀停止层78可以是等离子体淀积的氮氧化硅层。作为变通,腐蚀停止层78可以是等离子体淀积的氮化硅层、氮化硼层之类。
然后制作介电层80覆盖腐蚀停止层78。介电层80可以是用TEOS作为源气体而制作的等离子体淀积氧化物层。作为变通,介电层80可以是氮化硅层、PSG层、BPSG层、SOG层、氮氧化硅层、聚酰亚胺层、低介电常数绝缘体之类。此外,前述各介电材料的组合也可以用来制作介电层80。例如,介电层80可以包含等离子体淀积氧化物层上的氮氧化硅层。
然后清除部分介电层80和部分腐蚀停止层78以便制作暴露部分导电互连76的互连窗口。然后如先前图2和图3所述,在通口中制作导电势垒层、催化层、导电引晶层和导电金属层。再如先前图4所述,清除部分导电金属层、导电引晶层、催化层和导电势垒层,以便在互连窗口中制作导电互连86,其中导电互连86包含导电势垒层的剩余部分82、催化层的剩余部分83、导电引晶层的剩余部分84和导电金属层的剩余部分85。
然后制作势垒层88覆盖导电互连86。在一个实施例中,势垒层88是等离子体淀积的氮化硅层。作为变通,势垒层88可以是等离子体淀积的氮氧化硅层、氮化硼层之类。势垒层88被用来防止导电互连86中的金属原子扩散进入随后在导电互连86上淀积的上方介电层中。例如,若导电互连86含有铜,则势垒层88用作铜的扩散势垒。
应该理解的是,为了制造额外的导电互连层,可重复上述的各个步骤。
图10所示是根据本发明另一实施例制作的部分集成电路结构17。具体地说,图10示出了用双重镶嵌金属化制作多层互连。在图10中,在制作晶体管14和场隔离区12之后,制作层间介电层90覆盖晶体管14和场隔离区12。在一个实施例中,层间介电层90包含介电层92、腐蚀停止层94和介电层96。
介电层92可以是用TEOS作为源气体而淀积的等离子体淀积氧化物层。作为变通,介电层92可以是PSG层、BPSG层、SOG层、聚酰亚胺层、低介电常数绝缘体之类。
腐蚀停止层94可以是等离子体淀积的氮氧化硅层。作为变通,腐蚀停止层94可以是等离子体淀积的氮化硅层、氮化硼层之类。
介电层96可以是用TEOS作为源气体而制作的等离子体淀积氧化物。作为变通,介电层96可以是PSG层、BPSG层、SOG层、聚酰亚胺层、低介电常数绝缘体之类。应该理解的是,层间介电层90不必用不同的介电材料制作。例如,层间介电层90可以用诸如等离子体淀积的氧化物、PSG、BPSG、SOG、聚酰亚胺、低介电常数绝缘体之类的单一介电材料来制作。
然后对部分层间介电层90进行图形化,以便在层间介电层90中制作双重镶嵌的窗口。双重镶嵌窗口包含互连部分和通道部分,其中通道部分暴露出一部分源/漏区16。在图形化工艺过程中,腐蚀停止层94在介电层96被腐蚀以形成互连部分时,对介电层92进行保护。
然后如先前图7和图8所述,在双重镶嵌窗口中制作导电势垒层、催化层、导电引晶层和导电金属层。再如先前图8所述,清除部分导电金属层、导电引晶层、催化层和导电势垒层,以便在双重镶嵌窗口中制作导电互连102,其中导电互连102包含导电势垒层的剩余部分97、催化层的剩余部分98、导电引晶层的剩余部分99和导电金属层的剩余部分100。
然后制作势垒层104覆盖导电互连102。在一个实施例中,势垒层104是等离子体淀积的氮化硅层。作为变通,势垒层104可以是等离子体淀积的氮氧化硅、氮化硼层之类。势垒层104被用来防止导电互连102中的金属原子扩散进入随后在导电互连102上淀积的上方介电层中。例如,若导电互连102含有铜,则势垒层104用作铜的扩散势垒。
再制作层间介电层112覆盖势垒层104。在一个实施例中,层间介电层112包含介电层106、腐蚀停止层108和介电层110。
介电层106可以是用TEOS作为源气体而淀积的等离子体淀积氧化物。作为变通,介电层106可以是PSG层、BPSG层、SOG层、聚酰亚胺层、低介电常数绝缘体之类。
腐蚀停止层108可以是等离子体淀积的氮氧化硅层。作为变通,腐蚀停止层108可以是等离子体淀积的氮化硅层、氮化硼层之类。
介电层110可以是用TEOS作为源气体而制作的等离子体淀积氧化物层。作为变通,介电层110可以是PSG层、BPSG层、SOG层、聚酰亚胺层、低介电常数绝缘体之类。应该理解的是,层间介电层112不必用不同的介电材料制作。例如,层间介电层112可以用诸如等离子体淀积的氧化物、PSG、BPSG、SOG、聚酰亚胺、低介电常数绝缘体之类的单一介电材料来制作。
然后对部分层间介电层112进行图形化,以便在层间介电层112中制作双重镶嵌的窗口。双重镶嵌窗口包含互连部分和通道部分,其中通道部分暴露出一部分导电互连102。在图形化工艺过程中,腐蚀停止层108在介电层110被腐蚀以形成互连部分时,对介电层106进行保护。
然后如先前图7和图8所述,在双重镶嵌窗口中制作导电势垒层、催化层、导电引晶层和导电金属层。再如先前图8所述,清除部分导电金属层、导电引晶层、催化层和导电势垒层,以便在双重镶嵌窗口中制作导电互连118,其中导电互连118包含导电势垒层的剩余部分114、催化层的剩余部分115、导电引晶层的剩余部分116和导电金属层的剩余部分117。
然后制作势垒层120覆盖导电互连118。在一个实施例中,势垒层120是等离子体淀积的氮化硅层。作为变通,势垒层120可以是等离子体淀积的氮氧化硅层、氮化硼层之类。势垒层120被用来防止导电互连118中的金属原子扩散进入随后在导电互连118上淀积的上方介电层中。例如,若导电互连118含有铜,则势垒层120用作铜的扩散势垒。
应该理解的是,为了制造额外的导电互连,可以重复所述的各个步骤。
于是,根据本发明,显然已提供了一种能够在高形状比窗口中淀积高度共形薄膜的金属化工艺,此工艺可用来在高形状比窗口中制作可靠的低电阻互连结构。虽然参照具体的实施例已描述了本发明,但本发明并不局限于这些实施例。本技术领域熟练人员将认识到,可以作出各种修正与改变而不超越本发明的构思与范围。因此意味着本发明包括所附权利要求范围内的所有改变和修正。

Claims (5)

1.一种制作半导体器件中互连结构的方法,其特征是下列步骤:
提供半导体衬底(10);
制作介电层(28)覆盖半导体衬底;
在介电层中制作窗口(29),其中该窗口具有底部和侧壁;
在窗口的底部和侧壁上制作导电势垒层(30);
在窗口的底部和侧壁上制作包括钯-锡合金的催化层(31);
用无电镀覆工艺在窗口的底部和侧壁上制作铜层(32),其中的铜层在已经制作催化层之后被制作在窗口的底部和侧壁上;以及
制作导电金属层(34)覆盖铜层,其中导电金属层用电镀工艺制作。
2.一种制作半导体器件中互连结构的方法,其特征是下列步骤:
提供半导体衬底(10);
制作介电层(28)覆盖半导体衬底;
在介电层中制作窗口(29),其中该窗口具有底部和侧壁;
在窗口的底部和侧壁上制作导电势垒层(30);
在导电势垒层(30)上制作包括钯-锡合金的催化层(31);
用无电镀覆工艺在窗口中共形淀积第一铜层,其覆盖所述催化层(31);
用电镀工艺在窗口的底部和侧壁上制作第二铜层(34),其中第二铜层紧靠第一铜层,且第一铜层用作电镀工艺的引晶层;以及
对第二铜层和第一铜层进行抛光,以便在窗口中制作导电互连(39)。
3.一种制作半导体器件中互连结构的方法,其特征是下列步骤:
提供半导体衬底(10);
在半导体衬底中制作掺杂区(16);
制作第一介电层(28)覆盖半导体衬底;
在第一介电层中制作第一窗口(29),其中第一窗口具有底部和侧壁;
在第一窗口的底部和侧壁上制作第一导电势垒层(30);
在第一窗口中共形形成包括钯-锡合金的第一催化层(31),其覆盖所述第一导电势垒层;
用无电镀覆工艺在第一窗口的底部和侧壁上制作第一铜层(32),第一铜层覆盖第一导电势垒层;
用电镀工艺在第一窗口的底部和侧壁上制作第二铜层(34),第二铜层覆盖第一铜层;
对第一铜层和第二铜层进行抛光,以形成第一导电互连(39);
制作第二介电层(48)覆盖第一导电互连;
在第二介电层中制作第二窗口(50)以暴露部分第一导电互连,其中第二窗口具有底部和侧壁;
在第二窗口的底部和侧壁上制作第二导电势垒层(52);
在第二窗口中共形形成包括钯-锡合金的第二催化层(53),其覆盖第二导电势垒层;
用无电镀覆工艺在第二窗口的底部和侧壁上制作第三铜层(54),第三铜层覆盖第二导电势垒层;
用电镀工艺在第二窗口的底部和侧壁上制作第四铜层(60),第四铜层覆盖第三铜层;以及
对第三铜层和第四铜层进行抛光,以形成第二导电互连(62)。
4.一种制作半导体器件中互连结构的方法,其特征是下列步骤:
提供半导体衬底(10);
制作介电层(28)覆盖半导体衬底;
在介电层中制作窗口(29),其中该窗口具有底部和侧壁;
在窗口的底部和侧壁上制作导电势垒层(30);
在窗口中制作包括钯-锡合金的催化层(31),其覆盖导电势垒层;
用无电镀覆工艺在窗口的底部和侧壁上制作第一铜层(32),其中第一铜层在已经制作催化层之后制作;
用电镀工艺在窗口的底部和侧壁上制作第二铜层(34),其中第二铜层紧靠第一铜层,且第一铜层用作电镀工艺的引晶层;以及
对第二铜层和第一铜层进行抛光,以便在窗口中形成导电互连(39)。
5.一种半导体器件中的互连结构,其特征是:
半导体衬底(10);
覆盖半导体衬底的介电层(28);
穿透介电层的窗口(29);
位于窗口中的导电势垒层(36);
导电势垒层上和窗口中的钯-锡层;
位于窗口中且覆盖导电势垒层和钯-锡层的无电铜层(37);以及
位于窗口中且覆盖无电铜层的电镀铜层(38)。
CN98126098A 1998-02-12 1998-12-28 半导体器件中的互连结构及其制作方法 Expired - Fee Related CN1124647C (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US022,933 1998-02-12
US022933 1998-02-12
US09/022,933 US6197688B1 (en) 1998-02-12 1998-02-12 Interconnect structure in a semiconductor device and method of formation

Publications (2)

Publication Number Publication Date
CN1226080A CN1226080A (zh) 1999-08-18
CN1124647C true CN1124647C (zh) 2003-10-15

Family

ID=21812181

Family Applications (1)

Application Number Title Priority Date Filing Date
CN98126098A Expired - Fee Related CN1124647C (zh) 1998-02-12 1998-12-28 半导体器件中的互连结构及其制作方法

Country Status (5)

Country Link
US (2) US6197688B1 (zh)
JP (1) JP4516640B2 (zh)
KR (1) KR100528559B1 (zh)
CN (1) CN1124647C (zh)
TW (1) TW402784B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102820280A (zh) * 2011-06-10 2012-12-12 台湾积体电路制造股份有限公司 用于集成电路的非分层式金属层

Families Citing this family (321)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6429120B1 (en) 2000-01-18 2002-08-06 Micron Technology, Inc. Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals
US7244677B2 (en) 1998-02-04 2007-07-17 Semitool. Inc. Method for filling recessed micro-structures with metallization in the production of a microelectronic device
KR100404649B1 (ko) * 1998-02-23 2003-11-10 가부시끼가이샤 히다치 세이사꾸쇼 반도체장치 및 그 제조방법
US6565729B2 (en) * 1998-03-20 2003-05-20 Semitool, Inc. Method for electrochemically depositing metal on a semiconductor workpiece
US6197181B1 (en) 1998-03-20 2001-03-06 Semitool, Inc. Apparatus and method for electrolytically depositing a metal on a microelectronic workpiece
TW593731B (en) * 1998-03-20 2004-06-21 Semitool Inc Apparatus for applying a metal structure to a workpiece
US6284656B1 (en) 1998-08-04 2001-09-04 Micron Technology, Inc. Copper metallurgy in integrated circuits
DE19843624C1 (de) * 1998-09-23 2000-06-15 Siemens Ag Integrierte Schaltungsanordnung und Verfahren zu deren Herstellung
US6965165B2 (en) * 1998-12-21 2005-11-15 Mou-Shiung Lin Top layers of metal for high performance IC's
JP3708732B2 (ja) 1998-12-25 2005-10-19 Necエレクトロニクス株式会社 半導体装置の製造方法
TW426980B (en) * 1999-01-23 2001-03-21 Lucent Technologies Inc Wire bonding to copper
KR100280288B1 (ko) * 1999-02-04 2001-01-15 윤종용 반도체 집적회로의 커패시터 제조방법
US20020127845A1 (en) * 1999-03-01 2002-09-12 Paul A. Farrar Conductive structures in integrated circuits
JP4270632B2 (ja) * 1999-03-12 2009-06-03 株式会社東芝 ドライエッチングを用いた半導体装置の製造方法
TW444252B (en) * 1999-03-19 2001-07-01 Toshiba Corp Semiconductor apparatus and its fabricating method
JP2000307084A (ja) * 1999-04-23 2000-11-02 Hitachi Ltd 半導体集積回路装置およびその製造方法
JP2001077118A (ja) * 1999-06-30 2001-03-23 Toshiba Corp 半導体装置およびその製造方法
US20020043466A1 (en) * 1999-07-09 2002-04-18 Applied Materials, Inc. Method and apparatus for patching electrochemically deposited layers using electroless deposited materials
US6627542B1 (en) * 1999-07-12 2003-09-30 Applied Materials, Inc. Continuous, non-agglomerated adhesion of a seed layer to a barrier layer
US6521532B1 (en) * 1999-07-22 2003-02-18 James A. Cunningham Method for making integrated circuit including interconnects with enhanced electromigration resistance
US6551872B1 (en) 1999-07-22 2003-04-22 James A. Cunningham Method for making integrated circuit including interconnects with enhanced electromigration resistance using doped seed layer and integrated circuits produced thereby
US6413858B1 (en) * 1999-08-27 2002-07-02 Micron Technology, Inc. Barrier and electroplating seed layer
US6451689B1 (en) * 1999-10-20 2002-09-17 Rohm Co., Ltd. Method for manufacturing semiconductor device
US6165891A (en) * 1999-11-22 2000-12-26 Chartered Semiconductor Manufacturing Ltd. Damascene structure with reduced capacitance using a carbon nitride, boron nitride, or boron carbon nitride passivation layer, etch stop layer, and/or cap layer
KR100436134B1 (ko) * 1999-12-30 2004-06-14 주식회사 하이닉스반도체 반도체 소자의 금속배선 형성방법
US7045461B2 (en) * 2000-01-07 2006-05-16 Nikkon Materials Co., Ltd. Metal plating method, pretreatment agent, and semiconductor wafer and semiconductor device obtained using these
WO2001049898A1 (fr) * 2000-01-07 2001-07-12 Nikko Materials Co., Ltd. Procede de galvanoplastie, agent de pretraitement et tranche de semi-conducteurs et dispositif semi-conducteur utilisant cette derniere
US7262130B1 (en) * 2000-01-18 2007-08-28 Micron Technology, Inc. Methods for making integrated-circuit wiring from copper, silver, gold, and other metals
US6420262B1 (en) * 2000-01-18 2002-07-16 Micron Technology, Inc. Structures and methods to enhance copper metallization
US7211512B1 (en) * 2000-01-18 2007-05-01 Micron Technology, Inc. Selective electroless-plated copper metallization
US6376370B1 (en) * 2000-01-18 2002-04-23 Micron Technology, Inc. Process for providing seed layers for using aluminum, copper, gold and silver metallurgy process for providing seed layers for using aluminum, copper, gold and silver metallurgy
US6656831B1 (en) * 2000-01-26 2003-12-02 Applied Materials, Inc. Plasma-enhanced chemical vapor deposition of a metal nitride layer
JP4055319B2 (ja) * 2000-02-18 2008-03-05 ソニー株式会社 半導体装置の製造方法
US6528180B1 (en) * 2000-05-23 2003-03-04 Applied Materials, Inc. Liner materials
US6423629B1 (en) * 2000-05-31 2002-07-23 Kie Y. Ahn Multilevel copper interconnects with low-k dielectrics and air gaps
US6674167B1 (en) * 2000-05-31 2004-01-06 Micron Technology, Inc. Multilevel copper interconnect with double passivation
US6291082B1 (en) * 2000-06-13 2001-09-18 Advanced Micro Devices, Inc. Method of electroless ag layer formation for cu interconnects
US6479902B1 (en) * 2000-06-29 2002-11-12 Advanced Micro Devices, Inc. Semiconductor catalytic layer and atomic layer deposition thereof
US6501180B1 (en) * 2000-07-19 2002-12-31 National Semiconductor Corporation Structure and method for controlling copper diffusion and for utilizing low K materials for copper interconnects in integrated circuit structures
US6846737B1 (en) * 2000-08-15 2005-01-25 Intel Corporation Plasma induced depletion of fluorine from surfaces of fluorinated low-k dielectric materials
US6511912B1 (en) * 2000-08-22 2003-01-28 Micron Technology, Inc. Method of forming a non-conformal layer over and exposing a trench
JP3986743B2 (ja) * 2000-10-03 2007-10-03 株式会社日立製作所 配線基板とその製造方法及びそれに用いる無電解銅めっき液
US6663915B2 (en) * 2000-11-28 2003-12-16 Interuniversitair Microelektronica Centrum Method for copper plating deposition
US20020064592A1 (en) * 2000-11-29 2002-05-30 Madhav Datta Electroless method of seed layer depostion, repair, and fabrication of Cu interconnects
US6977224B2 (en) * 2000-12-28 2005-12-20 Intel Corporation Method of electroless introduction of interconnect structures
US6429523B1 (en) * 2001-01-04 2002-08-06 International Business Machines Corp. Method for forming interconnects on semiconductor substrates and structures formed
US6326306B1 (en) * 2001-02-15 2001-12-04 United Microelectronics Corp. Method of forming copper dual damascene structure
US6514844B1 (en) * 2001-04-23 2003-02-04 Advanced Micro Devices, Inc. Sidewall treatment for low dielectric constant (low K) materials by ion implantation
JP3530149B2 (ja) * 2001-05-21 2004-05-24 新光電気工業株式会社 配線基板の製造方法及び半導体装置
US20030008243A1 (en) * 2001-07-09 2003-01-09 Micron Technology, Inc. Copper electroless deposition technology for ULSI metalization
US6610594B2 (en) * 2001-07-10 2003-08-26 Advanced Micro Devices, Inc. Locally increasing sidewall density by ion implantation
KR100425458B1 (ko) * 2001-08-21 2004-03-30 삼성전자주식회사 무전해 도금을 이용한 금속 배선 형성 방법
US6723219B2 (en) * 2001-08-27 2004-04-20 Micron Technology, Inc. Method of direct electroplating on a low conductivity material, and electroplated metal deposited therewith
DE10154500B4 (de) * 2001-11-07 2004-09-23 Infineon Technologies Ag Verfahren zur Herstellung dünner, strukturierter, metallhaltiger Schichten mit geringem elektrischen Widerstand
KR100406592B1 (ko) * 2001-12-03 2003-11-20 김재정 반도체 금속막 형성방법
FR2833411B1 (fr) * 2001-12-11 2004-02-27 Memscap Procede de fabrication d'un composant electronique incorporant un micro-composant inductif
US7138014B2 (en) 2002-01-28 2006-11-21 Applied Materials, Inc. Electroless deposition apparatus
US6824666B2 (en) * 2002-01-28 2004-11-30 Applied Materials, Inc. Electroless deposition method over sub-micron apertures
KR100870697B1 (ko) * 2002-03-07 2008-11-27 엘지디스플레이 주식회사 저저항 구리배선 형성방법
JP4063619B2 (ja) * 2002-03-13 2008-03-19 Necエレクトロニクス株式会社 半導体装置の製造方法
US6905622B2 (en) * 2002-04-03 2005-06-14 Applied Materials, Inc. Electroless deposition method
WO2003085166A2 (en) * 2002-04-03 2003-10-16 Applied Materials, Inc. Electroless deposition methods
US6899816B2 (en) * 2002-04-03 2005-05-31 Applied Materials, Inc. Electroless deposition method
US6472310B1 (en) 2002-04-08 2002-10-29 Advanced Micro Devices, Inc. Tin palladium activation with maximized nuclei density and uniformity on barrier material in interconnect structure
US20030207206A1 (en) * 2002-04-22 2003-11-06 General Electric Company Limited play data storage media and method for limiting access to data thereon
US7008872B2 (en) 2002-05-03 2006-03-07 Intel Corporation Use of conductive electrolessly deposited etch stop layers, liner layers and via plugs in interconnect structures
US6599838B1 (en) * 2002-07-02 2003-07-29 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming metal filled semiconductor features to improve a subsequent metal CMP process
US20040007342A1 (en) * 2002-07-09 2004-01-15 Coulter George Gary Process for the control of the physical and chemical characteristics of cellulose fiber containing molded articles
US6875692B1 (en) 2002-07-09 2005-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. Copper electromigration inhibition by copper alloy formation
US7025866B2 (en) * 2002-08-21 2006-04-11 Micron Technology, Inc. Microelectronic workpiece for electrochemical deposition processing and methods of manufacturing and using such microelectronic workpieces
US8003513B2 (en) * 2002-09-27 2011-08-23 Medtronic Minimed, Inc. Multilayer circuit devices and manufacturing methods using electroplated sacrificial structures
US20040061232A1 (en) * 2002-09-27 2004-04-01 Medtronic Minimed, Inc. Multilayer substrate
US6642597B1 (en) * 2002-10-16 2003-11-04 Lsi Logic Corporation Inter-layer interconnection structure for large electrical connections
US20040082169A1 (en) * 2002-10-29 2004-04-29 Chartered Semiconductor Manufacturing Ltd. Deposition of barrier metal in damascene interconnects using metal carbonyl
US6821909B2 (en) * 2002-10-30 2004-11-23 Applied Materials, Inc. Post rinse to improve selective deposition of electroless cobalt on copper for ULSI application
US7214609B2 (en) * 2002-12-05 2007-05-08 Texas Instruments Incorporated Methods for forming single damascene via or trench cavities and for forming dual damascene via cavities
US6875260B2 (en) * 2002-12-10 2005-04-05 Enthone Inc. Copper activator solution and method for semiconductor seed layer enhancement
US7262133B2 (en) * 2003-01-07 2007-08-28 Applied Materials, Inc. Enhancement of copper line reliability using thin ALD tan film to cap the copper line
GB2417132B (en) * 2003-01-23 2007-04-04 Advanced Micro Devices Inc Method of forming a metal layer over a patterned dielectric by electroless deposition using a catalyst
DE10302644B3 (de) * 2003-01-23 2004-11-25 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung einer Metallschicht über einem strukturierten Dielektrikum mittels stromloser Abscheidung unter Verwendung eines Katalysators
US7402514B2 (en) * 2003-01-24 2008-07-22 Texas Instruments Incorporated Line-to-line reliability enhancement using a dielectric liner for a low dielectric constant interlevel and intralevel (or intermetal and intrametal) dielectric layer
US6686282B1 (en) * 2003-03-31 2004-02-03 Motorola, Inc. Plated metal transistor gate and method of formation
JP2004319834A (ja) * 2003-04-17 2004-11-11 Renesas Technology Corp 半導体装置およびその製造方法
US7202162B2 (en) * 2003-04-22 2007-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Atomic layer deposition tantalum nitride layer to improve adhesion between a copper structure and overlying materials
US6903013B2 (en) * 2003-05-16 2005-06-07 Chartered Semiconductor Manufacturing Ltd. Method to fill a trench and tunnel by using ALD seed layer and electroless plating
US7087104B2 (en) * 2003-06-26 2006-08-08 Intel Corporation Preparation of electroless deposition solutions
JP2005038884A (ja) 2003-07-15 2005-02-10 Toshiba Corp 不揮発性半導体記憶装置及びその製造方法
US7220665B2 (en) * 2003-08-05 2007-05-22 Micron Technology, Inc. H2 plasma treatment
US6964908B2 (en) * 2003-08-19 2005-11-15 International Business Machines Corporation Metal-insulator-metal capacitor and method of fabricating same
US7420275B1 (en) 2003-09-24 2008-09-02 Novellus Systems, Inc. Boron-doped SIC copper diffusion barrier films
US6967405B1 (en) 2003-09-24 2005-11-22 Yongsik Yu Film for copper diffusion barrier
US7827930B2 (en) * 2004-01-26 2010-11-09 Applied Materials, Inc. Apparatus for electroless deposition of metals onto semiconductor substrates
US7654221B2 (en) 2003-10-06 2010-02-02 Applied Materials, Inc. Apparatus for electroless deposition of metals onto semiconductor substrates
US7064065B2 (en) * 2003-10-15 2006-06-20 Applied Materials, Inc. Silver under-layers for electroless cobalt alloys
US7465358B2 (en) * 2003-10-15 2008-12-16 Applied Materials, Inc. Measurement techniques for controlling aspects of a electroless deposition process
US20070111519A1 (en) * 2003-10-15 2007-05-17 Applied Materials, Inc. Integrated electroless deposition system
TW200530427A (en) * 2003-10-17 2005-09-16 Applied Materials Inc Selective self-initiating electroless capping of copper with cobalt-containing alloys
US20050095830A1 (en) * 2003-10-17 2005-05-05 Applied Materials, Inc. Selective self-initiating electroless capping of copper with cobalt-containing alloys
US20050092611A1 (en) * 2003-11-03 2005-05-05 Semitool, Inc. Bath and method for high rate copper deposition
US7205233B2 (en) * 2003-11-07 2007-04-17 Applied Materials, Inc. Method for forming CoWRe alloys by electroless deposition
US20060003570A1 (en) * 2003-12-02 2006-01-05 Arulkumar Shanmugasundram Method and apparatus for electroless capping with vapor drying
CN1312745C (zh) * 2003-12-16 2007-04-25 上海华虹(集团)有限公司 一种去除铜籽晶表面氧化膜及增强铜层黏附力的前处理方法
KR100577528B1 (ko) * 2003-12-30 2006-05-10 매그나칩 반도체 유한회사 반도체 소자의 인덕터 제조 방법
KR100587657B1 (ko) * 2003-12-31 2006-06-08 동부일렉트로닉스 주식회사 이씨피공정에서의 터미날 효과 최소화 방법
US20050170650A1 (en) * 2004-01-26 2005-08-04 Hongbin Fang Electroless palladium nitrate activation prior to cobalt-alloy deposition
US20050181226A1 (en) * 2004-01-26 2005-08-18 Applied Materials, Inc. Method and apparatus for selectively changing thin film composition during electroless deposition in a single chamber
US20060033678A1 (en) * 2004-01-26 2006-02-16 Applied Materials, Inc. Integrated electroless deposition system
US20050161338A1 (en) * 2004-01-26 2005-07-28 Applied Materials, Inc. Electroless cobalt alloy deposition process
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7582901B2 (en) * 2004-03-26 2009-09-01 Hitachi, Ltd. Semiconductor device comprising metal insulator metal (MIM) capacitor
US20050253268A1 (en) * 2004-04-22 2005-11-17 Shao-Ta Hsu Method and structure for improving adhesion between intermetal dielectric layer and cap layer
US7282438B1 (en) 2004-06-15 2007-10-16 Novellus Systems, Inc. Low-k SiC copper diffusion barrier films
US7214644B2 (en) 2004-07-30 2007-05-08 Xerox Corporation Cross-coupling reactions
US7279407B2 (en) * 2004-09-02 2007-10-09 Micron Technology, Inc. Selective nickel plating of aluminum, copper, and tungsten structures
US7259463B2 (en) * 2004-12-03 2007-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. Damascene interconnect structure with cap layer
US7192856B2 (en) * 2005-01-18 2007-03-20 Intel Corporation Forming dual metal complementary metal oxide semiconductor integrated circuits
US20060162658A1 (en) * 2005-01-27 2006-07-27 Applied Materials, Inc. Ruthenium layer deposition apparatus and method
US20060240187A1 (en) * 2005-01-27 2006-10-26 Applied Materials, Inc. Deposition of an intermediate catalytic layer on a barrier layer for copper metallization
US7438949B2 (en) * 2005-01-27 2008-10-21 Applied Materials, Inc. Ruthenium containing layer deposition method
WO2006102180A2 (en) * 2005-03-18 2006-09-28 Applied Materials, Inc. Contact metallization methods and processes
US7651934B2 (en) 2005-03-18 2010-01-26 Applied Materials, Inc. Process for electroless copper deposition
TW200734482A (en) * 2005-03-18 2007-09-16 Applied Materials Inc Electroless deposition process on a contact containing silicon or silicide
US20060246699A1 (en) * 2005-03-18 2006-11-02 Weidman Timothy W Process for electroless copper deposition on a ruthenium seed
JP2006294979A (ja) * 2005-04-13 2006-10-26 Toshiba Corp 半導体装置およびその製造方法
CN1905177B (zh) * 2005-07-29 2010-10-20 米辑电子股份有限公司 线路组件结构及其制作方法
US8148822B2 (en) * 2005-07-29 2012-04-03 Megica Corporation Bonding pad on IC substrate and method for making the same
WO2007035880A2 (en) * 2005-09-21 2007-03-29 Applied Materials, Inc. Method and apparatus for forming device features in an integrated electroless deposition system
US20070099806A1 (en) * 2005-10-28 2007-05-03 Stewart Michael P Composition and method for selectively removing native oxide from silicon-containing surfaces
US20070099422A1 (en) * 2005-10-28 2007-05-03 Kapila Wijekoon Process for electroless copper deposition
US7960838B2 (en) * 2005-11-18 2011-06-14 United Microelectronics Corp. Interconnect structure
KR100702797B1 (ko) * 2005-12-09 2007-04-03 동부일렉트로닉스 주식회사 반도체소자의 구리배선막 형성방법
US7341948B2 (en) * 2006-01-17 2008-03-11 International Business Machines Corporation Method of making a semiconductor structure with a plating enhancement layer
JP5464780B2 (ja) * 2006-05-08 2014-04-09 キヤノン株式会社 膜の製造方法
DE102006025405B4 (de) * 2006-05-31 2018-03-29 Globalfoundries Inc. Verfahren zur Herstellung einer Metallisierungsschicht eines Halbleiterbauelements mit unterschiedlich dicken Metallleitungen
US7585768B2 (en) * 2006-06-16 2009-09-08 Chartered Semiconductor Manufacturing, Ltd. Combined copper plating method to improve gap fill
US7586175B2 (en) * 2006-10-23 2009-09-08 Samsung Electronics Co., Ltd. Semiconductor wafer having embedded electroplating current paths to provide uniform plating over wafer surface
US7915166B1 (en) 2007-02-22 2011-03-29 Novellus Systems, Inc. Diffusion barrier and etch stop films
US8173537B1 (en) 2007-03-29 2012-05-08 Novellus Systems, Inc. Methods for reducing UV and dielectric diffusion barrier interaction
US7964934B1 (en) 2007-05-22 2011-06-21 National Semiconductor Corporation Fuse target and method of forming the fuse target in a copper process flow
US8030733B1 (en) 2007-05-22 2011-10-04 National Semiconductor Corporation Copper-compatible fuse target
US7867900B2 (en) 2007-09-28 2011-01-11 Applied Materials, Inc. Aluminum contact integration on cobalt silicide junction
US7998857B2 (en) * 2007-10-24 2011-08-16 Intel Corporation Integrated circuit and process for fabricating thereof
US20090108450A1 (en) * 2007-10-30 2009-04-30 International Business Machines Corporation Interconnect structure and method of making same
US8124522B1 (en) 2008-04-11 2012-02-28 Novellus Systems, Inc. Reducing UV and dielectric diffusion barrier interaction through the modulation of optical properties
CN101630667A (zh) * 2008-07-15 2010-01-20 中芯国际集成电路制造(上海)有限公司 形成具有铜互连的导电凸块的方法和系统
US7709956B2 (en) * 2008-09-15 2010-05-04 National Semiconductor Corporation Copper-topped interconnect structure that has thin and thick copper traces and method of forming the copper-topped interconnect structure
JP5388191B2 (ja) * 2009-05-26 2014-01-15 Jx日鉱日石金属株式会社 貫通シリコンビアを有するめっき物及びその形成方法
US8247332B2 (en) 2009-12-04 2012-08-21 Novellus Systems, Inc. Hardmask materials
US8377722B2 (en) 2010-02-10 2013-02-19 International Business Machines Corporation Methods of forming structures with a focused ion beam for use in atomic force probing and structures for use in atomic force probing
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
JP5196083B2 (ja) 2011-02-24 2013-05-15 新日鐵住金株式会社 冷間でのコイル取扱性に優れた高強度α+β型チタン合金熱延板及びその製造方法
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US8649820B2 (en) 2011-11-07 2014-02-11 Blackberry Limited Universal integrated circuit card apparatus and related methods
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
USD703208S1 (en) 2012-04-13 2014-04-22 Blackberry Limited UICC apparatus
US8936199B2 (en) 2012-04-13 2015-01-20 Blackberry Limited UICC apparatus and related methods
USD701864S1 (en) 2012-04-23 2014-04-01 Blackberry Limited UICC apparatus
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US10211310B2 (en) 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
KR102003523B1 (ko) * 2012-08-17 2019-07-24 삼성전자주식회사 금속 플러그를 포함하는 반도체 장치 및 그 제조 방법
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
CN102915958A (zh) * 2012-09-20 2013-02-06 上海集成电路研发中心有限公司 一种铜互连结构及其制造方法
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
JP6009300B2 (ja) * 2012-09-27 2016-10-19 新光電気工業株式会社 配線基板及びその製造方法
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US9337068B2 (en) 2012-12-18 2016-05-10 Lam Research Corporation Oxygen-containing ceramic hard masks and associated wet-cleans
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US10297442B2 (en) 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9245846B2 (en) 2014-05-06 2016-01-26 International Business Machines Corporation Chip with programmable shelf life
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
JP6415376B2 (ja) * 2015-04-16 2018-10-31 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9905459B1 (en) * 2016-09-01 2018-02-27 International Business Machines Corporation Neutral atom beam nitridation for copper interconnect
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US9837270B1 (en) 2016-12-16 2017-12-05 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10515921B2 (en) * 2017-07-27 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and method of fabricating semiconductor package
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN112086399A (zh) * 2019-06-13 2020-12-15 芯恩(青岛)集成电路有限公司 半导体结构及制备方法
US11967550B2 (en) * 2020-05-22 2024-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure with via extending across adjacent conductive lines and method of forming the same

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3844799A (en) 1973-12-17 1974-10-29 Ibm Electroless copper plating
US5079600A (en) * 1987-03-06 1992-01-07 Schnur Joel M High resolution patterning on solid substrates
US5169680A (en) 1987-05-07 1992-12-08 Intel Corporation Electroless deposition for IC fabrication
GB2233820A (en) 1989-06-26 1991-01-16 Philips Nv Providing an electrode on a semiconductor device
US5266446A (en) 1990-11-15 1993-11-30 International Business Machines Corporation Method of making a multilayer thin film structure
JPH0799196A (ja) * 1993-09-28 1995-04-11 Matsushita Electric Ind Co Ltd 集積回路用金属膜形成方法
JP3341401B2 (ja) * 1993-10-22 2002-11-05 松下電器産業株式会社 めっき法による配線金属膜形成方法
US5447887A (en) * 1994-04-01 1995-09-05 Motorola, Inc. Method for capping copper in semiconductor devices
JP3332668B2 (ja) * 1994-07-14 2002-10-07 松下電器産業株式会社 半導体装置の配線形成に用いる無電解めっき浴及び半導体装置の配線形成方法
US5674787A (en) 1996-01-16 1997-10-07 Sematech, Inc. Selective electroless copper deposited interconnect plugs for ULSI applications
US5824599A (en) * 1996-01-16 1998-10-20 Cornell Research Foundation, Inc. Protected encapsulation of catalytic layer for electroless copper interconnect
JP3589794B2 (ja) * 1996-06-25 2004-11-17 富士通株式会社 外部接続用電極の製造方法及び外部接続用電極及び 半導体装置
US5913147A (en) * 1997-01-21 1999-06-15 Advanced Micro Devices, Inc. Method for fabricating copper-aluminum metallization
US5953594A (en) * 1997-03-20 1999-09-14 International Business Machines Corporation Method of making a circuitized substrate for chip carrier structure
US5969422A (en) * 1997-05-15 1999-10-19 Advanced Micro Devices, Inc. Plated copper interconnect structure
JP3277855B2 (ja) * 1997-08-27 2002-04-22 ヤマハ株式会社 半導体装置の配線形成方法
US6136682A (en) * 1997-10-20 2000-10-24 Motorola Inc. Method for forming a conductive structure having a composite or amorphous barrier layer
US6077780A (en) * 1997-12-03 2000-06-20 Advanced Micro Devices, Inc. Method for filling high aspect ratio openings of an integrated circuit to minimize electromigration failure
JP3500564B2 (ja) * 1997-12-19 2004-02-23 富士通株式会社 半導体装置の製造方法
US5939788A (en) * 1998-03-11 1999-08-17 Micron Technology, Inc. Copper diffusion barrier, aluminum wetting layer and improved methods for filling openings in silicon substrates with cooper
US6168991B1 (en) * 1999-06-25 2001-01-02 Lucent Technologies Inc. DRAM capacitor including Cu plug and Ta barrier and method of forming

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102820280A (zh) * 2011-06-10 2012-12-12 台湾积体电路制造股份有限公司 用于集成电路的非分层式金属层
US9117882B2 (en) 2011-06-10 2015-08-25 Taiwan Semiconductor Manufacturing Company, Ltd. Non-hierarchical metal layers for integrated circuits
CN102820280B (zh) * 2011-06-10 2016-03-23 台湾积体电路制造股份有限公司 用于集成电路的非分层式金属层
US9543193B2 (en) 2011-06-10 2017-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Non-hierarchical metal layers for integrated circuits

Also Published As

Publication number Publication date
JP4516640B2 (ja) 2010-08-04
CN1226080A (zh) 1999-08-18
US6197688B1 (en) 2001-03-06
KR19990072545A (ko) 1999-09-27
KR100528559B1 (ko) 2005-11-16
TW402784B (en) 2000-08-21
US6316359B1 (en) 2001-11-13
JPH11288940A (ja) 1999-10-19

Similar Documents

Publication Publication Date Title
CN1124647C (zh) 半导体器件中的互连结构及其制作方法
CN2720637Y (zh) 内联机结构
KR100623556B1 (ko) 상호 접속 구조 및 그 제조 방법
US6972254B1 (en) Manufacturing a conformal atomic liner layer in an integrated circuit interconnect
CN1258216C (zh) 形成多层导电线的方法
US9129968B2 (en) Schemes for forming barrier layers for copper in interconnect structures
CN1312755C (zh) 铜内连线的制作方法
CN1790663A (zh) 半导体元件及制造铜导线的方法
CN1783476A (zh) 集成电路的内连线结构
US8058164B2 (en) Methods of fabricating electronic devices using direct copper plating
CN101043028A (zh) 半导体器件及其制造方法
CN1707787A (zh) 半导体装置
CN2726116Y (zh) 改善蚀刻中止层与金属层间的粘着性的结构
US6225210B1 (en) High density capping layers with improved adhesion to copper interconnects
US20090166867A1 (en) Metal interconnect structures for semiconductor devices
JP2002033323A (ja) 銅相互接続部を有する半導体デバイスの製造方法
US6329701B1 (en) Semiconductor device comprising copper interconnects with reduced in-line diffusion
CN1149654C (zh) 与半导体上绝缘通孔中的铜金属化层接触的方法和结构
US6261952B1 (en) Method of forming copper interconnects with reduced in-line diffusion
US6083829A (en) Use of a low resistivity Cu3 Ge interlayer as an adhesion promoter between copper and tin layers
CN2793918Y (zh) 半导体装置
CN1458679A (zh) 制造接触插塞的方法
TW395040B (en) Metallization process of integrating tungsten plug and copper interconnect
TW413895B (en) Method for improving stability of copper processing
JP2011199009A (ja) 半導体装置及びその製造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
ASS Succession or assignment of patent right

Owner name: FREEDOM SEMICONDUCTORS CO.

Free format text: FORMER OWNER: MOTOROLA, INC.

Effective date: 20040820

C41 Transfer of patent application or patent right or utility model
TR01 Transfer of patent right

Effective date of registration: 20040820

Address after: Texas in the United States

Patentee after: FreeScale Semiconductor

Address before: The United States Illinois

Patentee before: Motorola, Inc.

C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20031015

Termination date: 20131228