TW402784B - Interconnect structure in a semiconductor device and method of formation - Google Patents

Interconnect structure in a semiconductor device and method of formation Download PDF

Info

Publication number
TW402784B
TW402784B TW087121583A TW87121583A TW402784B TW 402784 B TW402784 B TW 402784B TW 087121583 A TW087121583 A TW 087121583A TW 87121583 A TW87121583 A TW 87121583A TW 402784 B TW402784 B TW 402784B
Authority
TW
Taiwan
Prior art keywords
layer
copper
opening
conductive
forming
Prior art date
Application number
TW087121583A
Other languages
English (en)
Inventor
Cindy Reidsema Simpson
Original Assignee
Motorola Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Motorola Inc filed Critical Motorola Inc
Application granted granted Critical
Publication of TW402784B publication Critical patent/TW402784B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76874Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroless plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1068Formation and after-treatment of conductors
    • H01L2221/1073Barrier, adhesion or liner layers
    • H01L2221/1084Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L2221/1089Stacks of seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Description

402784
五、發明說明(1) 前申請案之參考 本申请案已經在美國提出專利申請,專利申請號為 09/022,933,日期為1998 年 12 月。 "" 發明領域 本發明是有關於半導體裝置,尤其是一種半導體 之互連結構及其形成方法。 中 發明背景 在半導體工業中,傳統上已經用化學氣相沉積(cvd)以 及物理氣相沉積(PVD)製程來形成導電互連結構。目前, PVD製程因成本,容易沉積,以及設備取得而較為常=。 然而’隨著半導體裝置的臨界大小收縮後,接觸區與連接 開口的形狀比也跟著增加’而且很難用PVD製程,在這此 開口間形成一致性的薄膜。所以,目前的pVD製程在$ ^ 狀比的開口中’只能提供較差的步階覆蓋性,而且所y 的互連結構常常包含空洞。這些空洞會降低互連姓構^效 體導電性,而且影響到半導體裝置的可靠度。而cOvd製正 相反的,一般都可提供比PVD製程更為一致性的薄膜缺 而,CVD製程需要昂貴的處理設備,頻繁的關機以便二α 洗,以及使用高成本低效率的化學前驅質。此外,\ , 狀比的開口上使用CVD技術所形成的互連結構,也會有形 洞產生,而且這些薄膜對埋植介電材料的黏貼性也 1 成問題。因此,需要一種今屈在,』 ^ _ 吊會這 嗖u 而女種I屬化製程,在高形狀比的開σ 上 >儿積出較一致性的薄膜,可以用也像供s、击 的形成。 乂用來降低互連結構中空洞
402784
五、發明說明(2) 圖式的簡單說明 圖1 - 8是依據本發明實施例之處理步驟的 _ 圖9疋依據本發明另一實施例之互連結構的 圖1 〇是依據本發明另一實施例之互連結構 示圖。 圖式的詳細說明 的纠示圖。 圖1所示為依據本發明實施例之積體電路紐 該積體電路結構包含半導體基底10,場隔絕、。構的部分5。 14,導電塞24,介電層22,蝕刻阻止層26,^ 電晶體 2 8。電晶體丨4包含源極/汲極區丨6,閘極雷I電層 導=,中,半導體基底10是單晶電 =以及 +導體基底10也可以是絕緣體上矽的美 石夕的基底,或是其它類似的結構。 ⑥底,鱼寶石上 f實施例中,場隔絕區1 2是使用傳統的蝕 研磨技術所带忐沾.巷战J與化學機械 以是使用傳钵枯供如r二、 场&絕區1 2也可 (LOCOS) . ^ ^ 比如區域矽氧化 夕日日石夕緩衝LOCOS (PBL),多B日石々以a (PEL0X),七a甘—丄 日曰石夕封包區域氧化 每 > 或疋其它類似的製程。 在只知例中,閘極介電層1 8是孰矽氧仆® 化掉一部分丰藤袖技 匕層,藉熱方式氧 刀牛等體基底1 〇所形成。另外 可以是氮化矽®,备- 卜 閘極介電層1 8也 礼1匕石7層’乳氮化矽層,化 ^ 層’氮化氧化声,士 ^ a 礼相〉儿積二氧化矽 /者a 增或廷些層的組合。 只%例中’閘極2 〇是多晶石夕声。另认 是如鎢或鉬的全屬㊣: $夕卜’間極20也可以 或疋這些的組合。此外,閘極2。也 ,口鼠化金屬層, M疋多矽化層,包含
第6頁 402784 五、發明說明(3) 如石夕化鎢或石夕化銘的石夕化金屬層,覆蓋住多晶石夕層。 在實施例中’介電層2 2可以是電漿沉積秀化層,利用 TE0S當作來源氣體來形成。另外,介電層;^ ^可以是— 矽層,PSG層,BPSG層,S0G層,氧氮化矽聚酿1氣化 層,低介電常數隔絕層,或其組合物。 雖 在實施例中,使兩鈦/氮化鈦阻障層以及鎢接觸窗 來形成導電塞24。沉積後,會用傳統的蝕刻或化學、滿 磨技術’去除掉部分的鈦/氮化鈦阻障層,以形成導械研 24。另外,導電塞24也可以用多晶矽來形成,當電塞 填滿的材料。 $觸窗 在實施例中,蝕刻阻止層26是氧氮化矽層,以傳 漿沉積技術形成。另外,蝕刻阻止層2 6也可以是電漿的電 氮化矽層,氮化硼層,或其它類似材料。 沉積 在實施例中,介電層28是電漿沉積氧化層,用TE 來源氣體來形成。#外,介電層28也虽作 層,層,氧氮化,層,聚= 電吊數隔絕層,或其它類似的材料。此外, 述的介電材料來形成介電層28。 使用則 在圖2中,介電層28與一部分 掉,以晛办山如γ以$ 蚀刻阻止層26被去除 ^ 曝路出—部分的導電塞24,並形成互i拿鬥口 道 電阻障層30在互連開口29間形成 成互連開口29。* 層3。是氮化组層。另外,導;:例中,導電阻障 層,氮化鶴層,氣化”層,2 =也/以是氣化欽 它類似的材料。導電阻障層3。可二丄鎢層⑴”,或其 用傳統的濺鍍或化學氣
im 402784 五、發明說明(4) 相沉積技術沉積出來。 利用傳統的技術,形成供非電積沉積製程用的催化層 31,覆蓋住導電阻障層30。在實施例中,催化層31包含有 犯-錫(Pd-Sn)膠體。在該特定的實施例中,導電阻障層go 是在形成包含有叙-錫移體的該層之前,在酸性溶液中進 行蝕刻處理,以便去除掉任何在導電阻障層3 〇外表面上所 町能形成的氧化物。例如,如果導電阻障層3 〇包含氮化 鈦,則可以使用硫酸溶液去除掉任何在氮化鈦阻障層上表 面上所形成的氧化鈦。類似的,如果導電阻障層包含担 或氮化鈕,則可以使用氫氟酸溶液去除掉任何在鈕或氮化 鋥阻障層上表面上所形成的五氧化鈕。已經發現到,蝕刻 掉導電阻障層30會增加催化層31對底下導電阻障層3〇的黏 貼性二ί ί ί的是,如果導電阻障層3 0不形成氧化物,則 上便不需f。另外,催化層3 或金:其2屬膠體來形成。例如,侧成包含有链的 催化:^盍住使用氯化鈀的導電阻 化抑。導電種子層子層32,覆蓋住催 圖2所示,但是卻足夠傳導/還·不夠填滿互連開口 29 ’如 度約500埃Ungstrom)的銅在/\例中,導電種子層32是厚 利用包含有銅離子,甲酸層。在該特定定的實施例中, 鑛『電積銅層的非電積敷 另外,也可以用能敷鍍 限 J非電積製程中的催化層3 1上的 402784 五、發明說明(5) 其它傳統銅電鍍溶液或其它導電材料, 32。例如,導電種子層32可以是非:積鋅子層 層,非電積絶層,或非電積金層。積鎳層,非電積錫 性形=子層㈣-致 在圖3中,接著用電鍍製程形 :層:梯覆盖性。 29,如圖3所示。在實施例中,導電 ^ 連^ 包含有銅(Cu),硫酸銅(Cu2S〇4),硫 疋以從= = (HC1):來的氯離子的電鑛溶液所沉積出來二ί 的實施例中,鋼電铲_,Φώ 1 任特疋 底的邊绫,以汝盖=恭 々丨L ’、又被調整成接近半導體基 ,的邊緣以改善鋼電鍍的均勻性。另外,導電金 =可以使用傳統的電鍍技術來形$,而 其它導電材料來形成。要注意的是,導電;= = = = :製程用的種子層1此,具有高形丄比 :::均勾况積的導電種子層32 ’能讓導電金屬㈣以相 虽兩均勻性的沉積在相同高形狀比的開口中。 在圖4中,去除掉一部分的導電金屬層以,導電種子層 32 ’催化層31,與導電阻障層3〇,以便在互連開口29間形 ^導電互連結構39,如圖2所示,其中導電互連結構39包 3導電阻障層30的殘餘區36,催化層μ的殘餘區35,導電 種f層32的殘餘區37,導電金屬層34的殘餘區28。在特定 的貫施例中,導電金屬層34與導電種子層32是銅,催化層 第9頁 4〇2784 五、發明說明(6) 31包含鈀,而導電阻障層30包含鈦,鎢,或鈕,而導 連結構39可以使用包含有過氧化氫,檸檬酸銨,礬土,互 拖2, 4-三氮二烯五圜,以及去離子水的研磨泥漿,以化 械研磨製程來形成。另外,導電互連結構39也可以 統的蝕刻技術來形成,比如離子束研磨,反應性離 ,,以及電漿蝕刻,或是結合蝕刻與研磨技術。要注 =疋,結合電鍍製程的非電積敷鍍製程能讓導電互 : 39形成具有低電阻的高形狀比開口。 逆^構 接著形成阻障層40,覆蓋住導電互連結構39。在實 曰阻障層4 0電漿沉積氮化矽層。丨外,阻障層4 〇也 = 切層,氮化蝴層,•其它類似的材料: 疋“阻止導電互連結構3 9内的金屬原子,擴% =後沉積到導電互連結構39上的披覆電性層。例如擴: 層導電互連結構39包含銅,則阻障層4。當作是銅擴散阻障 η ΐΐ m:層48,覆蓋住阻障層4。。在實施例中,中 間)丨電層4 8包含介雷馬/ 9 >, 圖5所示。 電層42 ’姓刻阻止層44 ’介電層46,如 介電層4 2可以是雷將、^ ^ α=· 所沉積的。另外,::層:二物,用聰當作來源氣體 層,聚醯亞銨層,;以是PSG層気層,s〇G 料。 _ ;丨電吊數隔絕層,或其它類似的材 轴刻阻止層4 4也 刻阻止層4 4也可以 可以是電漿沉積氧氮化矽層。另 疋電衆/儿積氮化石夕層,氮化蝴層 ik 其
第10頁 40^784 五、發明說明(7) 它類似的材料。 介電層46可以是電漿沉積氧化物,用TE0S當作來源氣體 所沉積的。另外’介電層46也可以是PSG層,BPSG層,S0G 層,聚醯亞銨層,低介電常數隔絕層,或其它類似的材 料°要注意的是’中間介電層48不需使用不同的材料來形 成。例如,中間介電層48可以使用單一介電材料來形成, 如電漿沉積氧化物,PSG,BPSG,S0G,聚醯亞銨層,低介 電常數隔絕層,或其它類似的材料。 在圖6中,定義出一部分中間介電層48以及一部分阻障 的㈣,以便在中間介電層48内形成雙鑲嵌開口5〇。 =圖6所示,雙鑲嵌開口50包含互連部分52以及連 为54二其中連接孔部分54曝露出一部分的導電 。 連二ί出圖案的製程中,當介電層46被餘刻而形成互 連。卩刀52時,蝕刻阻止層44會保護介電層42。 成 j圖7中,雙鑲嵌開口 5〇間形成導電阻障層52。 例中,導電阻障層52是氣化組層。 在實施 可以是氮化鈦層,氣化鶴層,氮…層導::障層5也 鈦-鎢層,或其它類似的材料。導 θ (TlW) 的藏鍍或化學氣相沉積技術來:積電阻障層52可以用傳統 接著形成催化層53給非電積沉積製 覆蓋住導電阻障層52。在實施例中 :::技術 在形成包含有纪—錫膠體的該層之前 二障層52疋 蝕刻處理,以便去除掉住γ 欠f·生岭液中進行 便去除縣何在f t阻障層52外表面上所可 402784
五、發明說明(8) 能形成的氧化物。例如, 則可以使用硫酸溶液去广 電阻障層52包含氮化鈦, 所形成的氧化欽掉氮化鈦阻障層上表面上 化组,則可以使用氫氟酸溶液或氮 障層上表面上所形成的五羞外▲除掉任何在鈕或虱化鈕阻 電阻障層52會增 到’㈣掉導 性。要注意的是,如果底下導電阻障層52的黏貼 …刻處理:不障層52不形成氧化物,則上 ίΐ二ίί::形▲。例如,可以形成包含有挺的ί 成^人右4 i 酸的導電阻障層52。類似的,可以带 成Ο 3有楚(的催化屏,费金 ^ 52。 曰 覆住使用氣化Is的導電阻障層 化:Hi 成導電種子層54,覆蓋住催 如圇7拼- v企子層54的尽度還不夠填滿雙鑲嵌開口 5 〇, 不。在實施例中,導電種子層54是厚度約5 00埃 (angstrom)的銅層。力枯4±〜一 銅離子,甲駿,以及^ ί =疋的實施例中,利用包含有 以及乙底酸(EDTA)的非電積敷鍍溶液,數 鍍出非電積銅層,覆蓋住催化層53。 # ί 1卜,也可以用能敷鍍到非電積製程中的催化層5 3上的 ^匕統銅私鍍溶液或其它導電材料,形成導電種子層 5例如,導電種子層54可以是非電積鎳層,非電積錫 層,非電積鈀層,或非電積金層。 要注意的,’非電積沉積製程能讓導電種子層54很一致 性的’儿積到阿形狀比的開口内,具有良好的階梯覆蓋性。
苐12頁 402784 五、發明說明(9) 在圖8中’接著用電鍍製程形成導電金屬層,覆蓋住導 ^ ^子層54 °導電金屬層的厚度足夠填滿雙鑲嵌開口 50。 銅施例中’導電金屬層是銅層’用包含有銅(Cu),硫酸 子U2S〇4)々,硫酸(1^〇4),以及從氫氣酸(HC1)而來的氣離 •,鑛,液所沉積出來。在特定的實施例中,銅電鍍 带’電流密度被調整成接近半導體基底的邊緣,以改善銅
览的玲白I 如之前的圖3所述。另外,導電金屬層也 ,二傳統的電鍍技術來形成,而且還可以使用如鎳或 舍作二匕導電材料來形成。要注意的是,導電種子層54是 n 上述電鍍製程用的種子層。因此,具有高形狀比的 / 中始二=用導電金屬層來填滿,因為可以在高形狀比開口 勺性二導電種子層54 ’能讓導電金屬層以相當高均 勾丨生的>儿積在相同高形狀比的開口中。 在圖8中,一邱八n & x 層53 ,與導電阻隆^的„導電金屬層,導電種子層54,催化 形成導# π、# β章層52被去除掉,以便在雙鑲嵌開口 50間 心欣等電互連結摄只9,甘士;运% 層52的殘餘區57構二卜=導電互連結構62包含導電阻障 殘餘區59,導電金的殘餘區58,導電種子層54的 導電金屬層盘導電殘餘區60。在特定的實施例中, 電阻障層52'包含鈦I是銅’催化層53包含飽,而導 用包含Kb 5 ,,或鈕,而導電互連結構62可以使 園,以2d檬酸錄,蓉土义2,4-三氣二烯五 成,如圖4所示。另外研磨導尼聚’以化學機械研磨製程來形 的触刻技術來形成,比如導離電上連?62也可以使用傳統 比如離子束研磨,反應性離子束蝕
第13頁 402784 五、發明說明(10) ,,以及電漿蝕刻,或是結合蝕刻與研磨技術。要注意的 疋,結合電鍍製程的非電積敷鍍製程能讓導電互連結構62 形成具有低電阻的高形狀比開口。 接著形成阻障層64,覆蓋住導電互連結構62。 =,阻障層64電漿沉積I化矽層。另外,阻障層 是:漿沉積氧氮化矽層’氮化硼層,《其它類似料。 阻障層64是用來阻止導電互連結構62内的金屬原 導電互連結構62上的披覆電性層:例如,、如 層。互連結構62包含铜,則阻障層“當作是鋼擴散阻障 ,注意的是,圖5 —8所示的步驟可以重複進 出另外的導電互連結構。 乂 Jie 圖9^員示依據本發明另—實施例之積體電路社 15。特別的是,圖9說明使 :構的Q域 重互連妗椹。十士政〇 1之用早鐵嵌金屬化製程形成多 住本發明的實施例中,形成介電層7 〇 η 住圖4所示的藉體雷牧从 人"电禮/U,覆盍 氧化層,利用TEOS當作°來構電層7〇也可以是電衆沉積 也可以是氮化石夕層:4思源氣體來开…另外,介電層7。 層,聚醯亞銨層,低介電,二SG層,S〇G層,氧氮化石夕 料。此外,4可以使用^數隔絕層,或其它類似的材 例如,介電層7 0可以包乂 3 ^ ;1電材料來形成介電層7 0。 化層; 3孔氣化矽層,覆蓋住電衆沉積氧 接著去除掉部分的介 接孔開口,曝露出部分的::分的阻障層4。,形成連 連結構3 8。如之前圖2與
第14頁 402784 五、發明說明(11) 圖3所不的,形成導電阻障層,催化層,導電種子層,以 及導電金屬層。接著去除掉部分的導電金屬層,導電種子 層’催化層’以及導電阻障層,如之前的圖4所示,以便 在連接孔開口間形成導電互連結構7 6,其中導電互連結構 76包含導電阻障層的殘餘區72,催化層的殘餘區73,導電 種子層的殘餘區74,以及導電金屬層的殘餘區75。 形成蝕刻阻止層78,覆蓋住導電互連結構76。蝕刻阻止 層78可以是電漿沉積氧氮化矽層。另外,蝕刻阻止層7 8也 :以是電漿沉積氮化矽層,氮化硼層,或其它類似的材 料。 幵>/成"電層80,覆蓋住钱刻阻止層78。介電層8 == …利™當作來源氣體來形二了:疋 乂:以疋氮化矽層,PSG層,BPSG層,S〇G層,氧 Γ二此也可以使用前述的介電丄ί成= 8〇。例如’"電層8〇可以 氧氮化 積氡化層。 7增復盍住電漿 >儿 成2掉部分的介電層8°與部分的蝕刻阻止層78 ,形 ^圖3所干的曝露出部分的導電互連結構76 °如之前圖2 ;導雷藉V在連接孔開口間形成導電阻障層,催化 ΐ金ΠίΓ以及導電…。接著去除掉部分的導 二示導Λ種便子= 豆中導雪万、鱼 在連開口間形成導電互連結構86, ,、 連結構86包含導電阻障層的殘餘區82,催化層
第15頁 402784 五、發明說明(12) 的殘餘區83,導電種子層的殘餘區84 殘餘區85。 汉导电金屬層的 形成阻障層88,覆蓋住導電互連結構8 6。本 阻障層88可以是電喂沉藉n化功麻 在κ施例中, 以是雷H, 切層。$外,阻障層88也可 阻障層,氮化侧層,•其它類似的材料。 阻障層88是用來阻止導電互連結構W内 诃7 到隨後在導電互連結構86上沉雷,擴散 如,如果導電互連結構86包含^出”介電層。例 散阻障層。 則阻障層88便當作銅擴 要注意的是,可以重複上述的步驟. 電互連結構。 裊仏出其匕的導 圖10顯示依據本發明另一實Α 17。特別的是,圖10說明使心一=體電路結構的區域 重互連結構。纟圖10中,在二::鑲嵌金屬化製程形成多 後,形成中間介電層90,覆蓋2晶體14與場隔絕區1 2 在實施例中,中間介電層90包人入晶體1 4與場隔絕區1 2。 與介電層96。 電層92,钱刻阻止層94 介電層92可以是電漿沉積氧 體來形成。另外,介電層92也^Γ日’利用TEQS當作來源氣 BPSG層,S0G層,氧氮化矽層可以是氮化矽層’ρΚ層, 隔絕層,或其它類似的材料。’醯亞鉍層’低介電常數 蝕刻阻止層94可以是電漿沉 阻止層94也可以是電衆沉積氣,氮化矽層。另外,蝕刻 類似的材料。 化矽層’氮化則,或其它
五、發明說明(13) 眩—队刀汴"罨層96也可以是氮化矽層,PSG層, BPSG層SOG層,氧氮化石夕層,聚酿@敍層,低介 隔絕層’或其它類似的材料。要注意的是,中間介電層90 需使用不同的材料來形忐。 介電層96可以是電漿沉積氧化層,利用TEQS當作來源氣 體來形成。#外,介電層96也可以是氣化石夕層,pSG層, BPSG層’ S〇G層,氧氮化矽層,取减®,你A ♦也& a穴匕蝴w的柯料。要注意的是,中間介電層9〇 不f使用不同的材料來形成。例如,中間介電層90可以使 二二電材料來形成,如電漿沉積氧化物,psg, 似的材料。’聚酿亞録層’低介電常數隔絕層,或其它類 9。雙m’介is的圖案’以便在中間介電層 孔部分,1由*又鑲瓜開口包含互連部分以及連接 1 6。在定2 +連接孔部分曝露出一部分的源極/汲極區 16在疋義出圖案的製程中,當介雷屉qfi妯紅β 連部分時,蝕列阻μ爲Q/1各7 電層96被蝕刻而形成互 如之前二圖=層I4會:護介電層92。 層,催化層,導電::: 鑲敌開口内形成導電阻障 :分的導電金屬層,導電種子層,催化J層以;2除掉 層,如之前的圓8所示,以便在雙 曰以及導電阻障 連結構Μ,其中導電互連結構;;2鎮瓜入開口間形成導電互 區97,催化層的殘餘區98,導電種;;;::阻障層的殘餘 導電金屬層的殘餘區1〇〇。 帛子層的殘餘區99,以及 形成:障層1〇4,覆蓋住導電互 中,阻障層in可以是電漿沉積氮;:二 m也可以是電激沉積氮化石夕層,氮:匕:另外,阻障層 的材料,層m是用來阻止導電互連二其 五、發明說明(14) 原子’擴散到隨後在導電互連結構1〇2上沉積出來的覆蓋 介電層。例如’如果導電互連結構1〇2包含銅,則阻障層 104便當作銅擴散阻障層。 形成中間介電層112,覆蓋住阻障層1〇4。在實施例中, 中間介電層112包含介電層1〇6,餘刻阻止層1〇8,介電層 110 ° 介電層106可以是電漿沉積氧化物,用TE〇s當作來源氣 體所沉積的。另外,介電層1〇6也可以是psg層,BPSG層, SOG層,聚醯亞銨層’低介電常數隔絕層,或其它類似的 材料。 触刻阻止層1 0 8也可以是電漿沉積氧氮化矽層,另外, ϋ刻阻止層1 〇 8也可以是電衆沉積氮化石夕層,氮化蝴層, 或其它類似的材料。 介電層11 0可以是電漿沉積氧化物,用TE〇s當作來源氣 體所沉積的。另外,介電層110也可以是PSG層,BPSG層, SOG層,聚醯亞銨層,低介電常數隔絕層,或其它類似的 材料。要注意的是’中間介電層11 2不需使用不同的材料 來形成。例如,中間介電層1丨2可以使用單一介電材料來 形成’如電漿沉積氧化物,PSG,BPSG,SOG,聚醯亞敍 層’低介電常數隔絕層’或其它類似的材料。 定義出一部分中間介電層丨丨2的圖案,以便在中間介電 層112内形成雙鑲嵌開口。雙鑲嵌開口包含互連部分以及 連接孔部分,其中連接孔部分曝露出一部分的導電互連妗 構102。在定義出圖案的製程中,當介電層11〇被蝕刻而:
第18頁 4〇2784 五、發明說明(15) 成互連部分時,益 如之-固7咖餘刻阻止層108會保護介電層106。 如之刖圖7與圖8炻-以 .^ 層,催化層,導雷: 在雙鑲嵌開口内形成導電阻障 部分的導電金屬ί 層,以及導電金屬層。接著去除掉 層,如之前的導電種/層,催化層,以及導電阻障 連結構118,旦中,以便在雙鎮喪開口間形成導電互 區114,催化電互連結構118包含導電阻障層的殘餘 以及莫/入思層殘餘區115,導電種子層的殘餘區116, 以及導電金屬層的殘餘區m。 中^ f =障層12 〇 ’覆蓋住導電互連結構118。在實施例 190 、層12〇可以是電漿沉積氮化矽層。另外,阻障層 沾mi可以是電漿沉積氮化矽層,氮化硼層,或其它類似 ; 阻障層1 2 0是用來阻止導電互連結構1 1 8内的金屬 ,擴散到隨後在導電互連結構118上沉積出來的覆蓋 "電層,。例如,如果導電互連結構丨丨8包含銅,則阻障層 1 2 0便當作銅擴散阻障層。 要注意的是,可以重複上述的步驟,以製造出其它的導 電互連結構。 因此很明顯的,已經依據本發明提供金屬化製程,在高 开/狀比開口間沉積出高度一致性的薄膜,用來在高形狀比 開口内形成可靠的低電阻互連結構。雖然本發明已經說明 並參考特疋的實施例做了解說,但是本發明並不受限於這 些解說性的實施例。熟知該技術領域的人士可以了解到, 在不偏離本發明的精神與範圍下,是可以做出修改與變 化。所以’本發明將涵蓋所有本發明的精神與範圍下的修
第19頁 402784 五、發明說明(16) 改與變化。
IBB 第20頁 (

Claims (1)

  1. 巧年"月更正 87121583 , 年"角和 士 六、申請專利範圍 1· 一種在半導體裝置中形成互連結構的方法,包括以下 步驟: 提供半導體基底(10); 形成介電層(28) ’覆蓋住該半導體基底; 在介電層内形成開口( 2 9 ); 在開口内形成催化層(31)丄^中催化層為一句合細伽 錫之合金 > 利用非電積敷鍍製程,在開口内形成銅層(32),苴中 該銅層是在催化層形成後,在開口内所形成的;以及 形成導電金屬層(34) ’覆蓋住鋼層 層是用電鍍製程所形成。 离 2. —種在半導體裝置中形成互連沾 逆結構的方法,包括以下 I 提供半導體基底(1 〇); 形成介電層(28),覆蓋住該半導體基底. 在介電層内形成開口(29); ^ 某!tJi化層為一包含金. 利用非電積敷鍍製程 第一銅層(32) ; ^ϋΐ.你層上方形成 利用非電積敷鍍製程,在„ π _ 其中該第二銅層緊鄰第一銅層:$形成第二銅層(34), 用的種子層;以及 一銅層作為電鑛製程 研磨該第一銅層與第二鋼層, 連結構(39)。 从在開口内形成導電互 3. 一!在半導體裝連結構的方法,包括以下
    O:\56\56099.ptc $ 1頁 2000.11.22. 021 1〇2ϊ^4 '、、申請專利範圍 步驟: 種在半導體裝置中形成互連結構的方法,包括以下 提供半導體基底(10); 形成介電層(28),覆蓋住該半導體基底; 在介電層内形成開口( 2 9 ); 在開口内形成催化層(3丨); 利用非電積敷鍍製程,在開口内形成铜^ 該銅層是在催化層形成在開口内所其中 形成導電金屬層(34),覆蓋住銅層,由,以及 層是用電鍍製程所形成。 其中該導電金屬 2·種在半導體裝置中形成互連社禮沾 步驟: 、、構的方法,包括以下 提供半導體基底(1〇); 形成介電層(28),覆蓋住該半導 在介電層内形成開口(29); ^ 利用非電積敷鍍製程,在開口内 利用非電積敷鍍製程’在開口内开";^ 4 —铜層(32 ); 其中該第二鋼層緊鄰第一銅層,且二第二銅層(34), 用的種子層;以及 —綱層作為電鍍製程 開口内形成導電互 研磨該第—銅層與第二銅層,以 連結構(39)。 # 3. —種在半導體裝置中形成互連 步驟: 再的方法,包括以下 提供半導體基底(1 〇 );
    第21頁
    案號 87121583 六、申請專利範圍 步驟: 提供半導體基底(10); 在半導體基底内形成摻雜區(16); 形成第一介電層(28),覆蓋住該半導體基底 在第一介電層内形成第一開口(29); 在第一開口内形成第一導電阻障層(3〇); 該第一 M—g,内與二導電阻障層Η古形 —一 催化層(ϋ 該 利用非電積敷鍍製一開 (32),該第-銅層覆蓋住該第一導電阻障層^ 星; 利用非電積敷鑛製程,在第一開口内 (34),其中該第二銅層覆蓋住第一銅層· 研磨該該第-銅層與第二銅層,二便形成 連結構(39); 形成第-介電層(48) ’覆蓋住該第一導電互連結構; 在第二介電層内形成第二開口(5〇),曝露出部分 電互連結構; $ 在第二開口内形成第 左該第二開口内與玆 催化層,其中該第二催iti 利用非電積敷鍍製程 導電阻障層(52); JP-障層上方形成一第二 _包含Is與錫之合金; 咕 在第二開口内形成第三銅層 (54) ’其中該第三銅層覆蓋住第二導電阻障層 化》層 ; 利用非電積敷鍍製程,在第-„ π向#咕 ____.你乐—開口内形成第四銅層
    O:\56\56099.ptc $ 2頁 2000.11.22. 05 402784 六、申請專利範圍 在半導體 形成第一 在第一介 在第一開 利用非電 基底内形成摻雜區 介電層(28 ),覆蓋 電層内形成第一開 口内形成第一導電 積敷鍍製程,在第 (16); 住該半導體基底; 口(29); 阻障層(3 0 ); 一開口内形成第一銅層 (32),該第一銅層覆蓋住該第一導電阻障層; 利用非電 (34),其中該 研磨該該 連結構(39) 形成第一 在第二介 一導電互連結 在第二開 利用非電 (54),其中該 利用非電 (60),其中該 研磨該第 結構(62)。 積敷鍍製程,在第 第二銅層覆蓋住第 第一銅層與第二銅 9 介電層(48),覆蓋 電層内形成第二開 構; 口内形成第二導電 積敷鍍製程,在第 第三銅層覆蓋住第 積敷鍍製程,在第 第四銅層覆蓋住第 三銅層與第四鋼層 一開口内形成第二銅層 一銅層; 層,以便形成第一導電互 住該第一導電互連結構; 口(50),曝露出部分的第 阻障層(5 2 ); 二開口内形成第三銅層 二導電阻障層; 二開口内形成第四銅層 三銅層;以及 ,以便形成第二導電互連 4. 一種在半導體裝置中形成互連結構的方法,包括以下 步驟: 提供半導 形成介電 體基底(10); 層(2 8 ) ’覆蓋住該 半導體基底;
    第22頁 案號 87121583 公?年丨/月^口曰 六、 申請專利範圍 層;以及 便形成第二 導電互連 (60),其中該第四銅層覆蓋住第三銅 研磨該第三銅層與第四鋼層,以 結構(6 2 )。 4. 一種在半導體裝置中形成互連結構的方法,包括以下 步驟: 提供半導體基底(10); 形成介電層(28),覆蓋住該半導體基底; 在介電層内形成開口(29); 在開口内形成導電阻障層(3 〇 ); 形成催化層(31),覆蓋住導電阻障層,其中該華化層 盔一包含鈀與錫之合合; 其中該第 銅層是在催化層形成後, 利用非電積敷鑛製程,在開口内 其中該第二銅層緊鄰第一銅層,且第 ;以及 第一銅層與第二銅層,以 用的種子層 研磨該 連結構(39) 形成第一銅 而在開口内 形成第二銅 一銅層作為 層(32), 形成的, 層(34), 電鍍製程 成導電互 半導體裝置中的互連結構 體基底(10); 層(28),覆蓋住該半導體 (29),延伸穿過該介電層 阻障層(3 6 )’位於該開口 化層(3 1),I _ 為一包含把與錫之合金; 開口 導電 阻 該催化層 O:\56\56099.ptc 第3頁 2000.11.22. 023 784 784 六、申請專利範圍 在介電層 在開口内 形成催化 利用非電 其中該第一銅 利用非電 其中該第二銅 用的種子層; 研磨該第 連結構(3 9 )。 内形成開 形成導電 層(31), 積敷鍍製 層是在催 積敷鍍製 層緊鄰第 以及 5. —種在半導體裝置 一半導體基底(10) 一介電層(28),覆 一開口(29),延伸 一導電阻障層(3 6 ) 一非電積銅層(37) 障層;以及 口( 2 9 ); 阻障層(3 0 ); 覆蓋住導電阻障層; 程,在開口内形成第一銅層(32), 化層形成後,而在開口内形成的; 程,在開口内形成第二銅層(34), 一銅層,且第一銅層作為電鍍製程 一銅層與第二銅層,以在開口内形成導電互 中的互連結構,包括有: , 蓋住該半導體基底; 穿過該介電層; ,位於該開口内; ,位於該開口内,且覆蓋住導電阻 電鍍銅層(3 8 ),位於該開口内,且覆蓋住非電積銅 ί
    第23頁 巧年"月更正 87121583 , 年"角和 士 六、申請專利範圍 1· 一種在半導體裝置中形成互連結構的方法,包括以下 步驟: 提供半導體基底(10); 形成介電層(28) ’覆蓋住該半導體基底; 在介電層内形成開口( 2 9 ); 在開口内形成催化層(31)丄^中催化層為一句合細伽 錫之合金 > 利用非電積敷鍍製程,在開口内形成銅層(32),苴中 該銅層是在催化層形成後,在開口内所形成的;以及 形成導電金屬層(34) ’覆蓋住鋼層 層是用電鍍製程所形成。 离 2. —種在半導體裝置中形成互連沾 逆結構的方法,包括以下 I 提供半導體基底(1 〇); 形成介電層(28),覆蓋住該半導體基底. 在介電層内形成開口(29); ^ 某!tJi化層為一包含金. 利用非電積敷鍍製程 第一銅層(32) ; ^ϋΐ.你層上方形成 利用非電積敷鍍製程,在„ π _ 其中該第二銅層緊鄰第一銅層:$形成第二銅層(34), 用的種子層;以及 一銅層作為電鑛製程 研磨該第一銅層與第二鋼層, 連結構(39)。 从在開口内形成導電互 3. 一!在半導體裝連結構的方法,包括以下
    O:\56\56099.ptc $ 1頁 2000.11.22. 021
    案號 87121583 六、申請專利範圍 步驟: 提供半導體基底(10); 在半導體基底内形成摻雜區(16); 形成第一介電層(28),覆蓋住該半導體基底 在第一介電層内形成第一開口(29); 在第一開口内形成第一導電阻障層(3〇); 該第一 M—g,内與二導電阻障層Η古形 —一 催化層(ϋ 該 利用非電積敷鍍製一開 (32),該第-銅層覆蓋住該第一導電阻障層^ 星; 利用非電積敷鑛製程,在第一開口内 (34),其中該第二銅層覆蓋住第一銅層· 研磨該該第-銅層與第二銅層,二便形成 連結構(39); 形成第-介電層(48) ’覆蓋住該第一導電互連結構; 在第二介電層内形成第二開口(5〇),曝露出部分 電互連結構; $ 在第二開口内形成第 左該第二開口内與玆 催化層,其中該第二催iti 利用非電積敷鍍製程 導電阻障層(52); JP-障層上方形成一第二 _包含Is與錫之合金; 咕 在第二開口内形成第三銅層 (54) ’其中該第三銅層覆蓋住第二導電阻障層 化》層 ; 利用非電積敷鍍製程,在第-„ π向#咕 ____.你乐—開口内形成第四銅層
    O:\56\56099.ptc $ 2頁 2000.11.22. 05 案號 87121583 公?年丨/月^口曰 六、 申請專利範圍 層;以及 便形成第二 導電互連 (60),其中該第四銅層覆蓋住第三銅 研磨該第三銅層與第四鋼層,以 結構(6 2 )。 4. 一種在半導體裝置中形成互連結構的方法,包括以下 步驟: 提供半導體基底(10); 形成介電層(28),覆蓋住該半導體基底; 在介電層内形成開口(29); 在開口内形成導電阻障層(3 〇 ); 形成催化層(31),覆蓋住導電阻障層,其中該華化層 盔一包含鈀與錫之合合; 其中該第 銅層是在催化層形成後, 利用非電積敷鑛製程,在開口内 其中該第二銅層緊鄰第一銅層,且第 ;以及 第一銅層與第二銅層,以 用的種子層 研磨該 連結構(39) 形成第一銅 而在開口内 形成第二銅 一銅層作為 層(32), 形成的, 層(34), 電鍍製程 成導電互 半導體裝置中的互連結構 體基底(10); 層(28),覆蓋住該半導體 (29),延伸穿過該介電層 阻障層(3 6 )’位於該開口 化層(3 1),I _ 為一包含把與錫之合金; 開口 導電 阻 該催化層 O:\56\56099.ptc 第3頁 2000.11.22. 023
TW087121583A 1998-02-12 1998-12-24 Interconnect structure in a semiconductor device and method of formation TW402784B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/022,933 US6197688B1 (en) 1998-02-12 1998-02-12 Interconnect structure in a semiconductor device and method of formation

Publications (1)

Publication Number Publication Date
TW402784B true TW402784B (en) 2000-08-21

Family

ID=21812181

Family Applications (1)

Application Number Title Priority Date Filing Date
TW087121583A TW402784B (en) 1998-02-12 1998-12-24 Interconnect structure in a semiconductor device and method of formation

Country Status (5)

Country Link
US (2) US6197688B1 (zh)
JP (1) JP4516640B2 (zh)
KR (1) KR100528559B1 (zh)
CN (1) CN1124647C (zh)
TW (1) TW402784B (zh)

Families Citing this family (322)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6429120B1 (en) 2000-01-18 2002-08-06 Micron Technology, Inc. Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals
US7244677B2 (en) 1998-02-04 2007-07-17 Semitool. Inc. Method for filling recessed micro-structures with metallization in the production of a microelectronic device
KR100404649B1 (ko) * 1998-02-23 2003-11-10 가부시끼가이샤 히다치 세이사꾸쇼 반도체장치 및 그 제조방법
US6565729B2 (en) * 1998-03-20 2003-05-20 Semitool, Inc. Method for electrochemically depositing metal on a semiconductor workpiece
US6197181B1 (en) 1998-03-20 2001-03-06 Semitool, Inc. Apparatus and method for electrolytically depositing a metal on a microelectronic workpiece
TW593731B (en) * 1998-03-20 2004-06-21 Semitool Inc Apparatus for applying a metal structure to a workpiece
US6284656B1 (en) 1998-08-04 2001-09-04 Micron Technology, Inc. Copper metallurgy in integrated circuits
DE19843624C1 (de) * 1998-09-23 2000-06-15 Siemens Ag Integrierte Schaltungsanordnung und Verfahren zu deren Herstellung
US6965165B2 (en) * 1998-12-21 2005-11-15 Mou-Shiung Lin Top layers of metal for high performance IC's
JP3708732B2 (ja) 1998-12-25 2005-10-19 Necエレクトロニクス株式会社 半導体装置の製造方法
TW426980B (en) * 1999-01-23 2001-03-21 Lucent Technologies Inc Wire bonding to copper
KR100280288B1 (ko) * 1999-02-04 2001-01-15 윤종용 반도체 집적회로의 커패시터 제조방법
US20020127845A1 (en) * 1999-03-01 2002-09-12 Paul A. Farrar Conductive structures in integrated circuits
JP4270632B2 (ja) * 1999-03-12 2009-06-03 株式会社東芝 ドライエッチングを用いた半導体装置の製造方法
TW444252B (en) * 1999-03-19 2001-07-01 Toshiba Corp Semiconductor apparatus and its fabricating method
JP2000307084A (ja) * 1999-04-23 2000-11-02 Hitachi Ltd 半導体集積回路装置およびその製造方法
JP2001077118A (ja) * 1999-06-30 2001-03-23 Toshiba Corp 半導体装置およびその製造方法
US20020043466A1 (en) * 1999-07-09 2002-04-18 Applied Materials, Inc. Method and apparatus for patching electrochemically deposited layers using electroless deposited materials
US6627542B1 (en) * 1999-07-12 2003-09-30 Applied Materials, Inc. Continuous, non-agglomerated adhesion of a seed layer to a barrier layer
US6521532B1 (en) * 1999-07-22 2003-02-18 James A. Cunningham Method for making integrated circuit including interconnects with enhanced electromigration resistance
US6551872B1 (en) 1999-07-22 2003-04-22 James A. Cunningham Method for making integrated circuit including interconnects with enhanced electromigration resistance using doped seed layer and integrated circuits produced thereby
US6413858B1 (en) * 1999-08-27 2002-07-02 Micron Technology, Inc. Barrier and electroplating seed layer
US6451689B1 (en) * 1999-10-20 2002-09-17 Rohm Co., Ltd. Method for manufacturing semiconductor device
US6165891A (en) * 1999-11-22 2000-12-26 Chartered Semiconductor Manufacturing Ltd. Damascene structure with reduced capacitance using a carbon nitride, boron nitride, or boron carbon nitride passivation layer, etch stop layer, and/or cap layer
KR100436134B1 (ko) * 1999-12-30 2004-06-14 주식회사 하이닉스반도체 반도체 소자의 금속배선 형성방법
US7045461B2 (en) * 2000-01-07 2006-05-16 Nikkon Materials Co., Ltd. Metal plating method, pretreatment agent, and semiconductor wafer and semiconductor device obtained using these
WO2001049898A1 (fr) * 2000-01-07 2001-07-12 Nikko Materials Co., Ltd. Procede de galvanoplastie, agent de pretraitement et tranche de semi-conducteurs et dispositif semi-conducteur utilisant cette derniere
US7262130B1 (en) * 2000-01-18 2007-08-28 Micron Technology, Inc. Methods for making integrated-circuit wiring from copper, silver, gold, and other metals
US6420262B1 (en) * 2000-01-18 2002-07-16 Micron Technology, Inc. Structures and methods to enhance copper metallization
US7211512B1 (en) * 2000-01-18 2007-05-01 Micron Technology, Inc. Selective electroless-plated copper metallization
US6376370B1 (en) * 2000-01-18 2002-04-23 Micron Technology, Inc. Process for providing seed layers for using aluminum, copper, gold and silver metallurgy process for providing seed layers for using aluminum, copper, gold and silver metallurgy
US6656831B1 (en) * 2000-01-26 2003-12-02 Applied Materials, Inc. Plasma-enhanced chemical vapor deposition of a metal nitride layer
JP4055319B2 (ja) * 2000-02-18 2008-03-05 ソニー株式会社 半導体装置の製造方法
US6528180B1 (en) * 2000-05-23 2003-03-04 Applied Materials, Inc. Liner materials
US6423629B1 (en) * 2000-05-31 2002-07-23 Kie Y. Ahn Multilevel copper interconnects with low-k dielectrics and air gaps
US6674167B1 (en) * 2000-05-31 2004-01-06 Micron Technology, Inc. Multilevel copper interconnect with double passivation
US6291082B1 (en) * 2000-06-13 2001-09-18 Advanced Micro Devices, Inc. Method of electroless ag layer formation for cu interconnects
US6479902B1 (en) * 2000-06-29 2002-11-12 Advanced Micro Devices, Inc. Semiconductor catalytic layer and atomic layer deposition thereof
US6501180B1 (en) * 2000-07-19 2002-12-31 National Semiconductor Corporation Structure and method for controlling copper diffusion and for utilizing low K materials for copper interconnects in integrated circuit structures
US6846737B1 (en) * 2000-08-15 2005-01-25 Intel Corporation Plasma induced depletion of fluorine from surfaces of fluorinated low-k dielectric materials
US6511912B1 (en) * 2000-08-22 2003-01-28 Micron Technology, Inc. Method of forming a non-conformal layer over and exposing a trench
JP3986743B2 (ja) * 2000-10-03 2007-10-03 株式会社日立製作所 配線基板とその製造方法及びそれに用いる無電解銅めっき液
US6663915B2 (en) * 2000-11-28 2003-12-16 Interuniversitair Microelektronica Centrum Method for copper plating deposition
US20020064592A1 (en) * 2000-11-29 2002-05-30 Madhav Datta Electroless method of seed layer depostion, repair, and fabrication of Cu interconnects
US6977224B2 (en) * 2000-12-28 2005-12-20 Intel Corporation Method of electroless introduction of interconnect structures
US6429523B1 (en) * 2001-01-04 2002-08-06 International Business Machines Corp. Method for forming interconnects on semiconductor substrates and structures formed
US6326306B1 (en) * 2001-02-15 2001-12-04 United Microelectronics Corp. Method of forming copper dual damascene structure
US6514844B1 (en) * 2001-04-23 2003-02-04 Advanced Micro Devices, Inc. Sidewall treatment for low dielectric constant (low K) materials by ion implantation
JP3530149B2 (ja) * 2001-05-21 2004-05-24 新光電気工業株式会社 配線基板の製造方法及び半導体装置
US20030008243A1 (en) * 2001-07-09 2003-01-09 Micron Technology, Inc. Copper electroless deposition technology for ULSI metalization
US6610594B2 (en) * 2001-07-10 2003-08-26 Advanced Micro Devices, Inc. Locally increasing sidewall density by ion implantation
KR100425458B1 (ko) * 2001-08-21 2004-03-30 삼성전자주식회사 무전해 도금을 이용한 금속 배선 형성 방법
US6723219B2 (en) * 2001-08-27 2004-04-20 Micron Technology, Inc. Method of direct electroplating on a low conductivity material, and electroplated metal deposited therewith
DE10154500B4 (de) * 2001-11-07 2004-09-23 Infineon Technologies Ag Verfahren zur Herstellung dünner, strukturierter, metallhaltiger Schichten mit geringem elektrischen Widerstand
KR100406592B1 (ko) * 2001-12-03 2003-11-20 김재정 반도체 금속막 형성방법
FR2833411B1 (fr) * 2001-12-11 2004-02-27 Memscap Procede de fabrication d'un composant electronique incorporant un micro-composant inductif
US7138014B2 (en) 2002-01-28 2006-11-21 Applied Materials, Inc. Electroless deposition apparatus
US6824666B2 (en) * 2002-01-28 2004-11-30 Applied Materials, Inc. Electroless deposition method over sub-micron apertures
KR100870697B1 (ko) * 2002-03-07 2008-11-27 엘지디스플레이 주식회사 저저항 구리배선 형성방법
JP4063619B2 (ja) * 2002-03-13 2008-03-19 Necエレクトロニクス株式会社 半導体装置の製造方法
US6905622B2 (en) * 2002-04-03 2005-06-14 Applied Materials, Inc. Electroless deposition method
WO2003085166A2 (en) * 2002-04-03 2003-10-16 Applied Materials, Inc. Electroless deposition methods
US6899816B2 (en) * 2002-04-03 2005-05-31 Applied Materials, Inc. Electroless deposition method
US6472310B1 (en) 2002-04-08 2002-10-29 Advanced Micro Devices, Inc. Tin palladium activation with maximized nuclei density and uniformity on barrier material in interconnect structure
US20030207206A1 (en) * 2002-04-22 2003-11-06 General Electric Company Limited play data storage media and method for limiting access to data thereon
US7008872B2 (en) 2002-05-03 2006-03-07 Intel Corporation Use of conductive electrolessly deposited etch stop layers, liner layers and via plugs in interconnect structures
US6599838B1 (en) * 2002-07-02 2003-07-29 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming metal filled semiconductor features to improve a subsequent metal CMP process
US20040007342A1 (en) * 2002-07-09 2004-01-15 Coulter George Gary Process for the control of the physical and chemical characteristics of cellulose fiber containing molded articles
US6875692B1 (en) 2002-07-09 2005-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. Copper electromigration inhibition by copper alloy formation
US7025866B2 (en) * 2002-08-21 2006-04-11 Micron Technology, Inc. Microelectronic workpiece for electrochemical deposition processing and methods of manufacturing and using such microelectronic workpieces
US8003513B2 (en) * 2002-09-27 2011-08-23 Medtronic Minimed, Inc. Multilayer circuit devices and manufacturing methods using electroplated sacrificial structures
US20040061232A1 (en) * 2002-09-27 2004-04-01 Medtronic Minimed, Inc. Multilayer substrate
US6642597B1 (en) * 2002-10-16 2003-11-04 Lsi Logic Corporation Inter-layer interconnection structure for large electrical connections
US20040082169A1 (en) * 2002-10-29 2004-04-29 Chartered Semiconductor Manufacturing Ltd. Deposition of barrier metal in damascene interconnects using metal carbonyl
US6821909B2 (en) * 2002-10-30 2004-11-23 Applied Materials, Inc. Post rinse to improve selective deposition of electroless cobalt on copper for ULSI application
US7214609B2 (en) * 2002-12-05 2007-05-08 Texas Instruments Incorporated Methods for forming single damascene via or trench cavities and for forming dual damascene via cavities
US6875260B2 (en) * 2002-12-10 2005-04-05 Enthone Inc. Copper activator solution and method for semiconductor seed layer enhancement
US7262133B2 (en) * 2003-01-07 2007-08-28 Applied Materials, Inc. Enhancement of copper line reliability using thin ALD tan film to cap the copper line
GB2417132B (en) * 2003-01-23 2007-04-04 Advanced Micro Devices Inc Method of forming a metal layer over a patterned dielectric by electroless deposition using a catalyst
DE10302644B3 (de) * 2003-01-23 2004-11-25 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung einer Metallschicht über einem strukturierten Dielektrikum mittels stromloser Abscheidung unter Verwendung eines Katalysators
US7402514B2 (en) * 2003-01-24 2008-07-22 Texas Instruments Incorporated Line-to-line reliability enhancement using a dielectric liner for a low dielectric constant interlevel and intralevel (or intermetal and intrametal) dielectric layer
US6686282B1 (en) * 2003-03-31 2004-02-03 Motorola, Inc. Plated metal transistor gate and method of formation
JP2004319834A (ja) * 2003-04-17 2004-11-11 Renesas Technology Corp 半導体装置およびその製造方法
US7202162B2 (en) * 2003-04-22 2007-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Atomic layer deposition tantalum nitride layer to improve adhesion between a copper structure and overlying materials
US6903013B2 (en) * 2003-05-16 2005-06-07 Chartered Semiconductor Manufacturing Ltd. Method to fill a trench and tunnel by using ALD seed layer and electroless plating
US7087104B2 (en) * 2003-06-26 2006-08-08 Intel Corporation Preparation of electroless deposition solutions
JP2005038884A (ja) 2003-07-15 2005-02-10 Toshiba Corp 不揮発性半導体記憶装置及びその製造方法
US7220665B2 (en) * 2003-08-05 2007-05-22 Micron Technology, Inc. H2 plasma treatment
US6964908B2 (en) * 2003-08-19 2005-11-15 International Business Machines Corporation Metal-insulator-metal capacitor and method of fabricating same
US7420275B1 (en) 2003-09-24 2008-09-02 Novellus Systems, Inc. Boron-doped SIC copper diffusion barrier films
US6967405B1 (en) 2003-09-24 2005-11-22 Yongsik Yu Film for copper diffusion barrier
US7827930B2 (en) * 2004-01-26 2010-11-09 Applied Materials, Inc. Apparatus for electroless deposition of metals onto semiconductor substrates
US7654221B2 (en) 2003-10-06 2010-02-02 Applied Materials, Inc. Apparatus for electroless deposition of metals onto semiconductor substrates
US7064065B2 (en) * 2003-10-15 2006-06-20 Applied Materials, Inc. Silver under-layers for electroless cobalt alloys
US7465358B2 (en) * 2003-10-15 2008-12-16 Applied Materials, Inc. Measurement techniques for controlling aspects of a electroless deposition process
US20070111519A1 (en) * 2003-10-15 2007-05-17 Applied Materials, Inc. Integrated electroless deposition system
TW200530427A (en) * 2003-10-17 2005-09-16 Applied Materials Inc Selective self-initiating electroless capping of copper with cobalt-containing alloys
US20050095830A1 (en) * 2003-10-17 2005-05-05 Applied Materials, Inc. Selective self-initiating electroless capping of copper with cobalt-containing alloys
US20050092611A1 (en) * 2003-11-03 2005-05-05 Semitool, Inc. Bath and method for high rate copper deposition
US7205233B2 (en) * 2003-11-07 2007-04-17 Applied Materials, Inc. Method for forming CoWRe alloys by electroless deposition
US20060003570A1 (en) * 2003-12-02 2006-01-05 Arulkumar Shanmugasundram Method and apparatus for electroless capping with vapor drying
CN1312745C (zh) * 2003-12-16 2007-04-25 上海华虹(集团)有限公司 一种去除铜籽晶表面氧化膜及增强铜层黏附力的前处理方法
KR100577528B1 (ko) * 2003-12-30 2006-05-10 매그나칩 반도체 유한회사 반도체 소자의 인덕터 제조 방법
KR100587657B1 (ko) * 2003-12-31 2006-06-08 동부일렉트로닉스 주식회사 이씨피공정에서의 터미날 효과 최소화 방법
US20050170650A1 (en) * 2004-01-26 2005-08-04 Hongbin Fang Electroless palladium nitrate activation prior to cobalt-alloy deposition
US20050181226A1 (en) * 2004-01-26 2005-08-18 Applied Materials, Inc. Method and apparatus for selectively changing thin film composition during electroless deposition in a single chamber
US20060033678A1 (en) * 2004-01-26 2006-02-16 Applied Materials, Inc. Integrated electroless deposition system
US20050161338A1 (en) * 2004-01-26 2005-07-28 Applied Materials, Inc. Electroless cobalt alloy deposition process
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7582901B2 (en) * 2004-03-26 2009-09-01 Hitachi, Ltd. Semiconductor device comprising metal insulator metal (MIM) capacitor
US20050253268A1 (en) * 2004-04-22 2005-11-17 Shao-Ta Hsu Method and structure for improving adhesion between intermetal dielectric layer and cap layer
US7282438B1 (en) 2004-06-15 2007-10-16 Novellus Systems, Inc. Low-k SiC copper diffusion barrier films
US7214644B2 (en) 2004-07-30 2007-05-08 Xerox Corporation Cross-coupling reactions
US7279407B2 (en) * 2004-09-02 2007-10-09 Micron Technology, Inc. Selective nickel plating of aluminum, copper, and tungsten structures
US7259463B2 (en) * 2004-12-03 2007-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. Damascene interconnect structure with cap layer
US7192856B2 (en) * 2005-01-18 2007-03-20 Intel Corporation Forming dual metal complementary metal oxide semiconductor integrated circuits
US20060162658A1 (en) * 2005-01-27 2006-07-27 Applied Materials, Inc. Ruthenium layer deposition apparatus and method
US20060240187A1 (en) * 2005-01-27 2006-10-26 Applied Materials, Inc. Deposition of an intermediate catalytic layer on a barrier layer for copper metallization
US7438949B2 (en) * 2005-01-27 2008-10-21 Applied Materials, Inc. Ruthenium containing layer deposition method
WO2006102180A2 (en) * 2005-03-18 2006-09-28 Applied Materials, Inc. Contact metallization methods and processes
US7651934B2 (en) 2005-03-18 2010-01-26 Applied Materials, Inc. Process for electroless copper deposition
TW200734482A (en) * 2005-03-18 2007-09-16 Applied Materials Inc Electroless deposition process on a contact containing silicon or silicide
US20060246699A1 (en) * 2005-03-18 2006-11-02 Weidman Timothy W Process for electroless copper deposition on a ruthenium seed
JP2006294979A (ja) * 2005-04-13 2006-10-26 Toshiba Corp 半導体装置およびその製造方法
CN1905177B (zh) * 2005-07-29 2010-10-20 米辑电子股份有限公司 线路组件结构及其制作方法
US8148822B2 (en) * 2005-07-29 2012-04-03 Megica Corporation Bonding pad on IC substrate and method for making the same
WO2007035880A2 (en) * 2005-09-21 2007-03-29 Applied Materials, Inc. Method and apparatus for forming device features in an integrated electroless deposition system
US20070099806A1 (en) * 2005-10-28 2007-05-03 Stewart Michael P Composition and method for selectively removing native oxide from silicon-containing surfaces
US20070099422A1 (en) * 2005-10-28 2007-05-03 Kapila Wijekoon Process for electroless copper deposition
US7960838B2 (en) * 2005-11-18 2011-06-14 United Microelectronics Corp. Interconnect structure
KR100702797B1 (ko) * 2005-12-09 2007-04-03 동부일렉트로닉스 주식회사 반도체소자의 구리배선막 형성방법
US7341948B2 (en) * 2006-01-17 2008-03-11 International Business Machines Corporation Method of making a semiconductor structure with a plating enhancement layer
JP5464780B2 (ja) * 2006-05-08 2014-04-09 キヤノン株式会社 膜の製造方法
DE102006025405B4 (de) * 2006-05-31 2018-03-29 Globalfoundries Inc. Verfahren zur Herstellung einer Metallisierungsschicht eines Halbleiterbauelements mit unterschiedlich dicken Metallleitungen
US7585768B2 (en) * 2006-06-16 2009-09-08 Chartered Semiconductor Manufacturing, Ltd. Combined copper plating method to improve gap fill
US7586175B2 (en) * 2006-10-23 2009-09-08 Samsung Electronics Co., Ltd. Semiconductor wafer having embedded electroplating current paths to provide uniform plating over wafer surface
US7915166B1 (en) 2007-02-22 2011-03-29 Novellus Systems, Inc. Diffusion barrier and etch stop films
US8173537B1 (en) 2007-03-29 2012-05-08 Novellus Systems, Inc. Methods for reducing UV and dielectric diffusion barrier interaction
US7964934B1 (en) 2007-05-22 2011-06-21 National Semiconductor Corporation Fuse target and method of forming the fuse target in a copper process flow
US8030733B1 (en) 2007-05-22 2011-10-04 National Semiconductor Corporation Copper-compatible fuse target
US7867900B2 (en) 2007-09-28 2011-01-11 Applied Materials, Inc. Aluminum contact integration on cobalt silicide junction
US7998857B2 (en) * 2007-10-24 2011-08-16 Intel Corporation Integrated circuit and process for fabricating thereof
US20090108450A1 (en) * 2007-10-30 2009-04-30 International Business Machines Corporation Interconnect structure and method of making same
US8124522B1 (en) 2008-04-11 2012-02-28 Novellus Systems, Inc. Reducing UV and dielectric diffusion barrier interaction through the modulation of optical properties
CN101630667A (zh) * 2008-07-15 2010-01-20 中芯国际集成电路制造(上海)有限公司 形成具有铜互连的导电凸块的方法和系统
US7709956B2 (en) * 2008-09-15 2010-05-04 National Semiconductor Corporation Copper-topped interconnect structure that has thin and thick copper traces and method of forming the copper-topped interconnect structure
JP5388191B2 (ja) * 2009-05-26 2014-01-15 Jx日鉱日石金属株式会社 貫通シリコンビアを有するめっき物及びその形成方法
US8247332B2 (en) 2009-12-04 2012-08-21 Novellus Systems, Inc. Hardmask materials
US8377722B2 (en) 2010-02-10 2013-02-19 International Business Machines Corporation Methods of forming structures with a focused ion beam for use in atomic force probing and structures for use in atomic force probing
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
JP5196083B2 (ja) 2011-02-24 2013-05-15 新日鐵住金株式会社 冷間でのコイル取扱性に優れた高強度α+β型チタン合金熱延板及びその製造方法
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9117882B2 (en) * 2011-06-10 2015-08-25 Taiwan Semiconductor Manufacturing Company, Ltd. Non-hierarchical metal layers for integrated circuits
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US8649820B2 (en) 2011-11-07 2014-02-11 Blackberry Limited Universal integrated circuit card apparatus and related methods
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
USD703208S1 (en) 2012-04-13 2014-04-22 Blackberry Limited UICC apparatus
US8936199B2 (en) 2012-04-13 2015-01-20 Blackberry Limited UICC apparatus and related methods
USD701864S1 (en) 2012-04-23 2014-04-01 Blackberry Limited UICC apparatus
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US10211310B2 (en) 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
KR102003523B1 (ko) * 2012-08-17 2019-07-24 삼성전자주식회사 금속 플러그를 포함하는 반도체 장치 및 그 제조 방법
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
CN102915958A (zh) * 2012-09-20 2013-02-06 上海集成电路研发中心有限公司 一种铜互连结构及其制造方法
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
JP6009300B2 (ja) * 2012-09-27 2016-10-19 新光電気工業株式会社 配線基板及びその製造方法
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US9337068B2 (en) 2012-12-18 2016-05-10 Lam Research Corporation Oxygen-containing ceramic hard masks and associated wet-cleans
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US10297442B2 (en) 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9245846B2 (en) 2014-05-06 2016-01-26 International Business Machines Corporation Chip with programmable shelf life
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
JP6415376B2 (ja) * 2015-04-16 2018-10-31 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9905459B1 (en) * 2016-09-01 2018-02-27 International Business Machines Corporation Neutral atom beam nitridation for copper interconnect
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US9837270B1 (en) 2016-12-16 2017-12-05 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10515921B2 (en) * 2017-07-27 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and method of fabricating semiconductor package
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN112086399A (zh) * 2019-06-13 2020-12-15 芯恩(青岛)集成电路有限公司 半导体结构及制备方法
US11967550B2 (en) * 2020-05-22 2024-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure with via extending across adjacent conductive lines and method of forming the same

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3844799A (en) 1973-12-17 1974-10-29 Ibm Electroless copper plating
US5079600A (en) * 1987-03-06 1992-01-07 Schnur Joel M High resolution patterning on solid substrates
US5169680A (en) 1987-05-07 1992-12-08 Intel Corporation Electroless deposition for IC fabrication
GB2233820A (en) 1989-06-26 1991-01-16 Philips Nv Providing an electrode on a semiconductor device
US5266446A (en) 1990-11-15 1993-11-30 International Business Machines Corporation Method of making a multilayer thin film structure
JPH0799196A (ja) * 1993-09-28 1995-04-11 Matsushita Electric Ind Co Ltd 集積回路用金属膜形成方法
JP3341401B2 (ja) * 1993-10-22 2002-11-05 松下電器産業株式会社 めっき法による配線金属膜形成方法
US5447887A (en) * 1994-04-01 1995-09-05 Motorola, Inc. Method for capping copper in semiconductor devices
JP3332668B2 (ja) * 1994-07-14 2002-10-07 松下電器産業株式会社 半導体装置の配線形成に用いる無電解めっき浴及び半導体装置の配線形成方法
US5674787A (en) 1996-01-16 1997-10-07 Sematech, Inc. Selective electroless copper deposited interconnect plugs for ULSI applications
US5824599A (en) * 1996-01-16 1998-10-20 Cornell Research Foundation, Inc. Protected encapsulation of catalytic layer for electroless copper interconnect
JP3589794B2 (ja) * 1996-06-25 2004-11-17 富士通株式会社 外部接続用電極の製造方法及び外部接続用電極及び 半導体装置
US5913147A (en) * 1997-01-21 1999-06-15 Advanced Micro Devices, Inc. Method for fabricating copper-aluminum metallization
US5953594A (en) * 1997-03-20 1999-09-14 International Business Machines Corporation Method of making a circuitized substrate for chip carrier structure
US5969422A (en) * 1997-05-15 1999-10-19 Advanced Micro Devices, Inc. Plated copper interconnect structure
JP3277855B2 (ja) * 1997-08-27 2002-04-22 ヤマハ株式会社 半導体装置の配線形成方法
US6136682A (en) * 1997-10-20 2000-10-24 Motorola Inc. Method for forming a conductive structure having a composite or amorphous barrier layer
US6077780A (en) * 1997-12-03 2000-06-20 Advanced Micro Devices, Inc. Method for filling high aspect ratio openings of an integrated circuit to minimize electromigration failure
JP3500564B2 (ja) * 1997-12-19 2004-02-23 富士通株式会社 半導体装置の製造方法
US5939788A (en) * 1998-03-11 1999-08-17 Micron Technology, Inc. Copper diffusion barrier, aluminum wetting layer and improved methods for filling openings in silicon substrates with cooper
US6168991B1 (en) * 1999-06-25 2001-01-02 Lucent Technologies Inc. DRAM capacitor including Cu plug and Ta barrier and method of forming

Also Published As

Publication number Publication date
JP4516640B2 (ja) 2010-08-04
CN1226080A (zh) 1999-08-18
US6197688B1 (en) 2001-03-06
KR19990072545A (ko) 1999-09-27
KR100528559B1 (ko) 2005-11-16
US6316359B1 (en) 2001-11-13
JPH11288940A (ja) 1999-10-19
CN1124647C (zh) 2003-10-15

Similar Documents

Publication Publication Date Title
TW402784B (en) Interconnect structure in a semiconductor device and method of formation
US7051934B2 (en) Methods of forming metal layers in integrated circuit devices using selective deposition on edges of recesses
KR100647995B1 (ko) 반도체 디바이스 형성 방법
US6420258B1 (en) Selective growth of copper for advanced metallization
KR100215846B1 (ko) 반도체장치의 배선형성방법
JP3955644B2 (ja) 半導体接続構成体及び方法
US9704740B2 (en) Semiconductor device having insulating layers containing oxygen and a barrier layer containing manganese
KR0147682B1 (ko) 반도체 소자의 금속배선 제조방법
TW200805563A (en) Process for producing semiconductor integrated circuit device
TW200423258A (en) Damascene process and structure thereof
TW200531132A (en) Method of forming wiring structure and semiconductor device
US20060024962A1 (en) Partial plate anneal plate process for deposition of conductive fill material
TW200301524A (en) Method for improving electromigration performance of metallization features through multiple depositions of binary alloys
JP4829389B2 (ja) 半導体素子の配線形成方法
KR100559030B1 (ko) 반도체 소자의 구리 금속 배선 형성 방법
KR100749367B1 (ko) 반도체 소자의 금속배선 및 그의 제조방법
US5948705A (en) Method of forming interconnection line
KR100710201B1 (ko) 반도체 소자의 금속배선 형성방법
JP3111466B2 (ja) メッキ配線層を備えた半導体装置の製造方法
US6445070B1 (en) Coherent carbide diffusion barrier for integrated circuit interconnects
JP3628903B2 (ja) 半導体装置の製造方法
US6462417B1 (en) Coherent alloy diffusion barrier for integrated circuit interconnects
KR20020053610A (ko) 반도체장치의 배선 및 배선연결부 제조방법
TWI236100B (en) Method of forming a dual damascene copper wire
KR100186509B1 (ko) 반도체장치의 배선 형성방법

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MK4A Expiration of patent term of an invention patent