WO2003085166A2 - Electroless deposition methods - Google Patents

Electroless deposition methods Download PDF

Info

Publication number
WO2003085166A2
WO2003085166A2 PCT/US2003/010073 US0310073W WO03085166A2 WO 2003085166 A2 WO2003085166 A2 WO 2003085166A2 US 0310073 W US0310073 W US 0310073W WO 03085166 A2 WO03085166 A2 WO 03085166A2
Authority
WO
WIPO (PCT)
Prior art keywords
layer
substrate surface
conductive material
solution
cobalt
Prior art date
Application number
PCT/US2003/010073
Other languages
French (fr)
Other versions
WO2003085166A3 (en
Inventor
Deenesh Padhi
Joseph Yahalom
Sivakami Ramanathan
Chris R. Mcguirk
Srinivas Gandikota
Girish Dixit
Original Assignee
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/117,712 external-priority patent/US6905622B2/en
Priority claimed from US10/117,710 external-priority patent/US20030190426A1/en
Priority claimed from US10/117,711 external-priority patent/US6899816B2/en
Application filed by Applied Materials, Inc. filed Critical Applied Materials, Inc.
Priority to JP2003582335A priority Critical patent/JP2005536628A/en
Publication of WO2003085166A2 publication Critical patent/WO2003085166A2/en
Publication of WO2003085166A3 publication Critical patent/WO2003085166A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1603Process or apparatus coating on selected surface areas
    • C23C18/1607Process or apparatus coating on selected surface areas by direct patterning
    • C23C18/1608Process or apparatus coating on selected surface areas by direct patterning from pretreatment step, i.e. selective pre-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1646Characteristics of the product obtained
    • C23C18/165Multilayered product
    • C23C18/1651Two or more layers only obtained by electroless plating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1689After-treatment
    • C23C18/1692Heat-treatment
    • C23C18/1694Sequential heat treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/18Pretreatment of the material to be coated
    • C23C18/1851Pretreatment of the material to be coated of surfaces of non-metallic or semiconducting in organic material
    • C23C18/1872Pretreatment of the material to be coated of surfaces of non-metallic or semiconducting in organic material by chemical pretreatment
    • C23C18/1875Pretreatment of the material to be coated of surfaces of non-metallic or semiconducting in organic material by chemical pretreatment only one step pretreatment
    • C23C18/1879Use of metal, e.g. activation, sensitisation with noble metals
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/18Pretreatment of the material to be coated
    • C23C18/1851Pretreatment of the material to be coated of surfaces of non-metallic or semiconducting in organic material
    • C23C18/1872Pretreatment of the material to be coated of surfaces of non-metallic or semiconducting in organic material by chemical pretreatment
    • C23C18/1875Pretreatment of the material to be coated of surfaces of non-metallic or semiconducting in organic material by chemical pretreatment only one step pretreatment
    • C23C18/1882Use of organic or inorganic compounds other than metals, e.g. activation, sensitisation with polymers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/31Coating with metals
    • C23C18/32Coating with nickel, cobalt or mixtures thereof with phosphorus or boron
    • C23C18/34Coating with nickel, cobalt or mixtures thereof with phosphorus or boron using reducing agents
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/31Coating with metals
    • C23C18/42Coating with noble metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76874Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroless plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/82Electrodes with an enlarged surface, e.g. formed by texturisation
    • H01L28/84Electrodes with an enlarged surface, e.g. formed by texturisation being a rough surface, e.g. using hemispherical grains
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/48Coating with alloys
    • C23C18/50Coating with alloys with alloys based on iron, cobalt or nickel

Definitions

  • the present invention relates to the fabrication of semiconductor devices and to the apparatus and methods for deposition, removal, and modification of materials on a semiconductor substrate.
  • Electroplating is one process being used to fill high aspect ratio features on substrates. Electroplating processes typically require a thin, electrically conductive seed layer to be deposited on the substrate.
  • Electroplating is accomplished by applying an electrical current to the seed layer and exposing the substrate to an electrolytic solution containing metal ions that plate over the seed layer.
  • Electroless deposition is another process used to deposit conductive materials. Although electroless deposition techniques have been widely used to deposit conductive metals over non-conductive printed circuit boards, electroless deposition techniques have not been extensively used for forming interconnects in VLSI and ULSI semiconductors. Electroless deposition involves an auto catalyzed chemical deposition process that does not require an applied current for a plating reaction to occur. Electroless deposition typically involves exposing a substrate to a solution by immersing the substrate in a bath or by spraying the solution over the substrate.
  • metal oxides may also detrimentally affect subsequent processing.
  • oxides may interfere with electroless deposition techniques.
  • Electroless deposition techniques require a surface capable of electron transfer for nucleation, i.e., catalyzing, of a conductive material over that surface, and oxidized surfaces, for example on copper seed layers and metal barrier layers, cannot sufficiently participate in electron transfer for effective electroless deposition.
  • One solution is to deposit a passivation layer or encapsulation layer on the metal layer to prevent metal oxide formation. Cobalt and cobalt alloys have been observed as suitable materials for passivating copper. Cobalt may also be deposited by electroless deposition techniques on copper.
  • copper does not satisfactorily catalyze or initiate deposition of materials from electroless solutions.
  • One solution is to initiate deposition from an electroless solution by contacting the copper substrate with a ferrous material that initiates deposition though a galvanic reaction.
  • the process requires a continuous conductive surface over the substrate surface that may not be possible with some passivation applications.
  • Another solution is to activate the copper surface by depositing a catalytic material on the copper surface.
  • deposition of the catalytic material may require multiple steps or use catalytic colloid compounds. Catalytic colloid compounds may adhere to dielectric materials and result in undesired, excessive, and non-selective deposition of the passivation material on the substrate surface.
  • Non-selective deposition of passivation material may lead to surface contamination, unwanted diffusion of conductive materials into dielectric materials, and even device failure from short circuits and other device irregularities. [0009] Therefore, there is a need for a method and composition for electroless deposition of conductive materials in sub-micron features in a substrate surface.
  • Embodiments of the invention described herein generally provide methods and compositions for forming a metal or a metal suicide layer using an electroless deposition process.
  • a method for processing a substrate including polishing a substrate surface to expose a first conductive material disposed in a dielectric material, depositing an initiation layer on the first conductive material, cleaning the substrate surface of the first electroless solution, and depositing a second conductive material on the initiation layer by exposing the initiation layer to an electroless solution.
  • the initiation layer may be formed by exposing the substrate surface to a noble metal electroless solution.
  • the second conductive material may be deposited as a passivation layer, a barrier layer, a seed layer, or for use in forming a metal suicide layer.
  • a method for processing a substrate including polishing a substrate surface to expose a first conductive material disposed in a dielectric material, etching the substrate surface with an acidic solution, cleaning the substrate of the acidic solution, depositing an initiation layer selectively on the first conductive material by exposing the substrate surface to a first electroless solution, cleaning the substrate surface of the first electroless solution, and depositing a second conductive material on the initiation layer by exposing the initiation layer to a second electroless solution.
  • the initiation layer may be formed by exposing the substrate surface to a noble metal electroless solution.
  • the second conductive material may be deposited as a passivation layer, a barrier layer, a seed layer, or for use in forming a metal suicide layer.
  • a method for processing a substrate including polishing a substrate surface to expose a first conductive material disposed in a dielectric material, exposing the substrate surface to a solution comprising a boron- containing reducing agent, forming initiation sites on the exposed first conductive material, and depositing a second conductive material on the initiation sites by exposing the substrate surface to an electroless solution containing a reducing agent.
  • the second conductive material may be deposited as a passivation layer, a barrier layer, a seed layer, or for use in forming a metal suicide layer.
  • a method for processing a substrate including polishing a substrate surface to expose a first conductive material disposed in a dielectric material and depositing a second conductive material on the first conductive metal by exposing the substrate surface to an electroless solution containing a boron-containing reducing agent.
  • the second conductive material may be deposited as a passivation layer, a barrier layer, a seed layer, or for use in forming a metal suicide layer.
  • Figure 1 is a flow chart illustrating steps undertaken in depositing conductive layers according to one embodiment of the invention.
  • Figures 2A-2C are schematic sectional views of one deposition process described herein;
  • Figures 3A-3C are schematic sectional views of one deposition process described herein.
  • Figure 4 is a simplified sectional view of a suicide material used as a contact with a transistor.
  • Embodiments of the invention described herein provide methods and apparatus for depositing a conductive material by an electroless process.
  • One material that may be deposited is cobalt or cobalt alloys, which may be deposited as a passivation layer, a barrier layer, a seed layer, or used in the formation of a metal suicide layer.
  • Electroless deposition is broadly defined herein as deposition of a conductive material generally provided as charged ions in a bath over a catalytically active surface to deposit the conductive material by chemical reduction in the absence of an external electric current.
  • Suitable apparatus include an ElectraTM ECP processing platform or LinkTM processing platform that are commercially available from Applied Materials, Inc., located in Santa Clara, California.
  • the Electra CuTM ECP platform for example, includes an integrated processing chamber capable of depositing a conductive material by an electroless process, such as an electroless deposition processing (EDP) cell, which are commercially available from Applied Materials, Inc., located in Santa Clara, California.
  • EDP electroless deposition processing
  • the Electra CuTM ECP platform generally includes one or more electroless deposition processing (EDP) cells as well as one or more pre-deposition or post-deposition cell, such as spin-rinse-dry (SRD) cells, etch chambers, or annealing chambers.
  • EDP electroless deposition processing
  • SRD spin-rinse-dry
  • the ElectraTM ECP processing platform is more fully described in United States Patent No. 6,258,223, issued on July 10, which is incorporated by reference herein the extent not inconsistent with the claimed aspects and description herein.
  • Embodiment of the LinkTM processing platform are described in United States Patent Application Serial No. 09/603,792, filed on June 26, 2000, and in United States Patent Application Serial No. 09/891 ,849, filed on June 25, 2001 , which are incorporated by reference herein the extent not inconsistent with the claimed aspects and description herein.
  • a conductive material may be deposited as a passivation layer on exposed conductive materials after a planarization or material removal process.
  • the passivation layer is deposited by the use of an initiation layer formed by the electroless deposition of a noble metal.
  • an initiation layer is formed using a borane-containing solution to form a metal boride layer.
  • an acidic pre-treatment can be used prior to depositing or forming the initiation layer.
  • the electroless conductive layer can be deposited as a barrier layer or a seed layer in a metallization process.
  • an electroless conductive layer is deposited on a silicon-containing mate ⁇ al and annealed to form a metal suicide layer.
  • Cobalt and cobalt alloys are examples of compounds that are deposited by the conductive material electroless deposition process.
  • FIG. 1 is a flow chart illustrating steps undertaken in depositing conductive layers according to one embodiment of the invention.
  • a substrate is introduced into the process 100 and exposed to an acidic pre-clean or etching process to remove at least a portion of a substrate surface at Step 110.
  • the substrate surface generally comprises both dielectric materials and conductive materials.
  • the etched substrate is then rinsed with a rinsing agent, such as deionized water, at Step 120.
  • An initiation layer is then deposited on the substrate surface at Step 130.
  • the initiation layer may be electroless deposition of a noble metal on the exposed conductive material of the substrate surface or may be a metal boride formed from the exposure of the exposed conductive metal to a borane-containing solution.
  • the initiation layer generally forms selectively on the exposed conductive materials.
  • the substrate surface is then rinsed with a rinsing agent to remove the electroless solution or borane-containing solution at Step 140.
  • a second conductive material is then electroless deposited on the initiation layer at Step 150..
  • the second conductive material is generally cobalt or a cobalt alloy.
  • the second conductive material is selectively deposited on the exposed initiation layer.
  • the substrate surface is then cleaned using an ultrasonic or megasonic cleaning process at Step 160.
  • the pre-cleaning composition is an acidic solution, such as an inorganic acid solution.
  • the acidic solution may comprise between about 0.2 weight percent (wt.%) and about 5 wt.% of hydrofluoric acid (HF), for example, about 0.5 wt.% of HF acid.
  • the acid solution may also comprise nitric acid at a concentration of between about 1 M and about 5 M, for example about 1 M.
  • the nitric acid solution may comprise a ratio of nitric acid to water, such as deionized water, at a ratio of about 5:1 and about 1 :5.
  • the acidic solution may also comprises a composition of sulfuric acid at a concentration of between about 0.5 vol% and about 10 vol% of the composition, for example between about 1 vol% and about 5 vol%, and hydrogen peroxide at a concentration between about 5 vol% and about 40 vol% of 35% hydrogen peroxide, for example about 20 vol% concentration of 35% hydrogen peroxide.
  • the pre-cleaning composition is generally applied to the substrate surface for between about 5 seconds and about 300 seconds, for example, between about 30 seconds and about 60 seconds, at a flow rate between about 50 ml/min and about 2000 ml/min, for example, between about 700 ml/min and about 900 ml/min including about 750 ml/min, and at a composition temperature between about 15°C and about 60°C, such as between about 20°C and about 25°C.
  • a total application of between about 120 ml and about 200 ml of the pre-cleaning solution may be used to treat the substrate surface.
  • the pre-cleaning solution may be applied in the same processing chamber or processing cell as subsequent deposition processes.
  • An example of the pre-cleaning composition is about 0.5 wt.% of hydrofluoric acid, which may be applied at a flow rate of about 750 ml for about 60 seconds at a composition temperature between about 20°C and about 25°C.
  • the pre-cleaning solution of Step 110 is applied to remove or etch a top portion of the exposed dielectric layer, such as between about 10 A and about 50 A, which may contain contaminant conductive materials from a prior processing step. For example, stray copper ions may contaminant the top portion of a dielectric material following a chemical mechanical polishing or planarizing process.
  • a rinsing agent typically deionized water, is then applied to the substrate surface to remove any remaining pre-cleaning composition, any etched materials and particles, and any by-products that may have formed during the pre-cleaning process at Step 120.
  • the rinsing agent is generally applied to the substrate surface for between about 5 seconds and about 300 seconds, for example, between about 30 seconds and about 60 seconds, at a flow rate between about 50 ml/min and about 2000 ml/min, for example, between about 700 ml/min and about 900 ml/min including about 750 ml/min, and at a temperature between about 15°C and about 80°C, such as between about 20°C and about 25°C.
  • a total application of between about 120 ml and about 200 ml of the rinsing agent may be used to treat the substrate surface.
  • the rinsing agent may be applied by spraying method as well as by any other method for cleaning a substrate, such as by rinsing in an enclosure containing a cleaning solution or bath.
  • An example of the rinsing agent is deionized water, which may be applied at a flow rate of about 750 ml for about 60 seconds at a temperature between about 20°C and about 25°C.
  • an initiation layer is formed on the exposed conductive materials by the electroless deposition of a noble metal in Step 130.
  • the noble metal is selected from the group of palladium, platinum, or combinations thereof.
  • the invention contemplates the use of other noble metals, such as gold, silver, iridium, rhenium, rhodium, rhenium, ruthenium, osmium, and combinations thereof.
  • the noble metal is deposited from an electroless solution containing at least a noble metal salt, and an inorganic acid.
  • noble metal salts include palladium chloride (PdCI2), palladium sulfate (PdS04), palladium ammonium chloride, and combinations thereof.
  • inorganic acids include hydrochloric acid (HCI), sulfuric acid (H2S04), hydrofluoric acid (HF) and combinations thereof.
  • inorganic acids such as carboxylic acids including acetic acid (CH3COOH)
  • CH3COOH acetic acid
  • the noble metal salt may be in the electroless solution at a concentration between about 20 parts per million (ppm) and about 20 g/liter, such as between about 80 ppm and about 300 ppm, and, for example, about 120 ppm.
  • the concentration of the metal salt may also be described as a volume percent with 1 vol% corresponding to about 40 ppm.
  • 120 ppm of the noble metal salt correspond to about 3 vol%.
  • the inorganic acid is used to provide an acidic electroless composition, for example, a pH of about 7 or less.
  • a pH level between about 1 and about 3 has been observed to be effective in electroless deposition of the noble metals from the electroless solution.
  • An acidic solution has also been observed to be effective in removing or reducing oxides, such as metal oxides including copper oxides, from the metal or dielectric surface of the substrate during the electroless deposition process.
  • the electroless solution for the initiation layer is generally applied to the substrate surface for between about 1 second and about 300 seconds, for example, between about 5 seconds and about 60 seconds, at a composition temperature between about 15°C and about 80°C, such as between about 20°C and about 25°C.
  • the electroless solution is generally provided at a flow rate between about 50 ml/min and about 2000 ml/min, for example, between about 700 ml/min and about 900 ml/min including about 750 ml/min. In one aspect a total application of about 120 ml and about 200 ml of electroless solution was provided to deposit the electroless layer.
  • the electroless solution generally provides for the deposition of a noble metal to a thickness of about 50 A or less, such as about 10 A or less.
  • the initiation layer may be continuous or discontinuous.
  • An example of an electroless composition for depositing the initiation material includes about 3 vol% (120 ppm) of palladium chloride and sufficient hydrochloric acid to provide a pH of about 1.5 for the composition, which is applied to the substrate surface for about 30 seconds at a flow rate of about 750 ml/min at a composition temperature of about 25°C.
  • the initiation layer is formed by rinsing or exposing the exposed conductive materials to a borane-containing composition in Step 130.
  • the borane-containing composition forms a metal boride layer selectively on the exposed conductive metals, which are catalytic sites for subsequent electroless deposition processes.
  • the borane-containing composition includes a borane reducing agent.
  • Suitable borane-containing reducing agents include alkali metal borohydrides, alkyl amine boranes, and combinations thereof.
  • suitable borane-containing reducing agents include sodium borohydride, dimethylamine borane (DMAB), trimethylamine borane, and combinations thereof.
  • the borane-containing reducing agent comprises between about 0.25 grams per liter (g/L) and about 6 g/L, for example, between about 2 g/L and about 4 g/L, of the boron-containing composition.
  • the borane-containing composition may additionally include pH adjusting agents to provide a pH of between about 8 and about 13. Suitable pH adjusting agents include potassium hydroxide (KOH), sodium hydroxide (NaOH), ammonium hydroxide, ammonium hydroxide derivatives, such as tetramethyl ammonium hydroxide, and combinations thereof.
  • the conductive material is exposed to the borane-containing composition between about 30 seconds and about 180 seconds, for example, between about 60 seconds and about 120 seconds, at a composition temperature between about 15°C and about 80°C, such as between about 20°C and about 25°C.
  • the borane- containing composition may be delivered to the substrate at a flow rate between about 50 ml/min and about 2000 ml/min, for example, between about 700 ml/min and about 900 ml/min including about 750 ml/min.
  • a total application of about 120 ml and about 200 ml of the borane-containing composition was provided to form the initiation layer of a metal boride compound.
  • An example of a borane-containing composition for forming the layer includes about 4 g/L of dimethylamine borane (DMAB) and sufficient sodium hydroxide to provide a pH of about 9 for the composition, which is applied to the substrate surface for about 30 seconds at a flow rate of about 750 ml/min at a composition temperature of about 25°C.
  • DMAB dimethylamine borane
  • a rinsing agent typically deionized water, is then applied to the substrate surface to remove any solution used in forming the initiation layer at Step 140.
  • the rinsing agent is generally applied to the substrate surface for between about 5 seconds and about 300 seconds, for example, between about 30 seconds and about 60 seconds, at a flow rate between about 50 ml/min and about 2000 ml/min, for example, between about 700 ml/min and about 900 ml/min including about 750 ml/min, and at a temperature between about 15°C and about 80°C, such as between about 20°C and about 25°C.
  • a total application of between about 120 ml and about 200 ml of the rinsing agent may be used to treat the substrate surface.
  • the rinsing agent may be applied by spraying method as well as by any other method for cleaning a substrate, such as by rinsing in an enclosure containing a cleaning solution or bath.
  • An example of the rinsing agent is deionized water, which may be applied at a flow rate of about 750 ml for about 60 seconds at a temperature between about 20°C and about 25°C.
  • a metal layer is deposited by an electroless process on the initiation layer at Step 150.
  • the metal layer comprises cobalt or a cobalt alloy.
  • Cobalt alloys include cobalt-tungsten alloy, cobalt-phosphorus alloy, cobalt-tin alloys, cobalt-boron alloys, including ternary alloys, such as cobalt-tungsten-phosphorus and cobalt-tungsten-boron.
  • the invention contemplates the use of other materials, including nickel, tin, titanium, tantalum, tungsten, molybdenum, platinum, iron, niobium, palladium, platinum, and combinations thereof, and other alloys including nickel cobalt alloys, doped cobalt and doped nickel alloys, or nickel iron alloys, to form the metal layer as described herein.
  • the metal material is deposited from an electroless solution containing at least a metal salt and a reducing agent.
  • the electroless solution may further include additives to improve deposition of the metal.
  • Additives may include surfactants, complexing agents, pH adjusting agents, or combinations thereof.
  • Suitable metal salts include chlorides, sulfates, sulfamates, or combinations thereof.
  • An example of a metal salt is cobalt chloride.
  • the metal salt may be in the electroless solution at a concentration between about 0.5 g/L and about 30 g/L, such as between about 2.5 g/L and about 25 g/L.
  • Cobalt alloys such as cobalt-tungsten may be deposited by adding tungstic acid or tungstate salts including sodium tungstate, and ammonium tungstate, and combinations thereof for tungsten deposition.
  • Phosphorus for the cobalt-tungsten- phosphorus deposition may be form by phosphorus-containing reducing agents, such as hypophosphite.
  • Cobalt alloys, such as cobalt-tin may be deposited by adding stannate salts including stannic sulfate, stannic chloride, and combinations thereof.
  • the additional metals salts for example, for tungsten and tin, may be in the electroless solution at a concentration between about 0.5 g/L and about 30 g/L, such as between about 2.5 g/L and about 25 g/L.
  • Suitable reducing agents include sodium hypophosphite, hydrazine, formaldehyde, and combinations thereof.
  • the reducing agents may also include borane-containing reducing agents, such as dimethylamine borane and sodium borohydride.
  • the reducing agents have a concentration between about 1 g/L and about 30 g/L of the electroless solution.
  • hypophosphite may be added to the electroless between about 15 g/L and about 30 g/L of the electroless composition.
  • Additives include surfactants, such as RE 610, complexing agents including salts of carboxylic acids, for example, sodium citrate and sodium succinate, pH adjusting agents including sodium hydroxide and potassium hydroxide, and combinations thereof.
  • the additives can be used to control deposition properties of the electroless solution. For example, stabilizers prevent unwanted side reactions while complexing agents may limit available ions in the electroless solution for deposition of the substrate surface.
  • Additives have a concentration between about 0.01 g/L and about 50 g/L of the electroless solution, such as between about 0.05 g/L and about 4 g/L, of the electroless solution.
  • an additive is the surfactant RE 610, which may be added to the electroless composition at a concentration between about 0.01 g/L and about 5 g/L.
  • Stabilizers for example, thiourea and glycolic acid, may also be in the composition at a concentration of about 1 wt.% or less, such as about 0.01 wt.%.
  • Forming the metal layer includes applying the metal electroless solutions described herein to the substrate surface for between about 30 seconds and about 180 seconds, for example, between about 60 seconds and about 120 seconds, at a composition temperature between about 60°C and about 90°C, such as between about 70°C and about 80°C.
  • the electroless solution is generally provided at a flow rate between about 50 ml/min and about 2000 ml/min, for example, between about 700 ml/min and about 900 ml/min including about 750 ml/min. In one aspect a total application of between about 120 ml and about 200 ml of electroless solution was provided to deposit the electroless layer.
  • the electroless solution generally provides for the deposition of a metal layer to a thickness of about 500 A or less, such as between about 300 A and about 400 A.
  • An example of a cobalt electroless composition for forming the metal layer includes about 20 g/L of cobalt sulfate, about 50 g/L of sodium citrate, about 20 g/L of sodium hypophosphite, with sufficient potassium hydroxide to provide a pH of between about 9 and about 11 for the composition, which is applied to the substrate surface for about 120 seconds at a flow rate of about 750 ml/min at a composition temperature of about 80°C.
  • a cobalt-tungsten layer is deposited by the addition of about 10 g/L of sodium tungstate.
  • the metal material is deposited from an electroless solution containing at least a metal salt and a borane-containing reducing agent.
  • Suitable metal salts include chlorides, sulfates, include chlorides, sulfates, sulfamates, or combinations thereof.
  • An example of a metal salt is cobalt chloride.
  • the metal salt may be in the electroless solution at a concentration between about 0.5 g/L and about 30 g/L, such as between about 2.5 g/L and about 25 g/L.
  • Cobalt alloys such as cobalt-tungsten may be deposited by adding tungstic acid or tungstate salts including sodium tungstate, and ammonium tungstate, and combinations thereof for tungsten deposition.
  • Phosphorus for the cobalt-tungsten- phosphorus deposition may be form by phosphorus-containing reducing agents, such as hypophosphite.
  • Cobalt alloys, such as cobalt-tin may be deposited by adding stannate salts including stannic sulfate, stannic chloride, and combinations thereof.
  • the additional metals salts may be in the electroless solution at a concentration between about 0.5 g/L and about 30 g/L, such as between about 2.5 g/L and about 25 g/L.
  • Suitable borane-containing reducing agents include alkali metal borohydrides, alkyl amine boranes, and combinations thereof. Examples of suitable borane-containing reducing agents include sodium borohydride, dimethylamine borane (DMAB), trimethylamine borane, and combinations thereof.
  • the borane- containing reducing agent comprises between about 0.25 grams per liter (g/L) and about 6 g/L, for example, between about 2 g/L and about 4 g/L, of the boron- containing composition.
  • the presence of borane-containing reducing agents allow for the formation of cobalt-boron alloys such as cobalt-tungsten-boron and cobalt- tin-boron among others.
  • Additives include surfactants, such as RE 610, complexing agents including salts of carboxylic acids, for example, sodium citrate and sodium succinate, and combinations thereof.
  • the additives can be used to control deposition properties of the electroless solution. For example, stabilizers prevent unwanted side reactions while complexing agents may limit available ions in the electroless solution for deposition of the substrate surface.
  • Additives have a concentration between about 0.01 g/L and about 50 g/L of the electroless solution, such as between about 0.05 g/L and about 4 g/L, of the electroless solution.
  • An example of an additive is the surfactant RE 610, which may be added to the electroless composition at a concentration between about 0.01 g/L and about 5 g/L.
  • Stabilizers for example, thiourea and glycolic acid, may also be in the composition at a concentration of about 1 wt.% or less, such as about 0.01 wt.%.
  • the borane-containing composition may additionally include pH adjusting agents to provide a pH of between about 8 and about 13.
  • pH adjusting agents include potassium hydroxide (KOH), sodium hydroxide (NaOH), ammonium hydroxide, ammonium hydroxide derivatives, such as tetramethyl ammonium hydroxide, and combinations thereof.
  • Forming the metal layer includes applying the metal electroless solutions described herein to the substrate surface for between about 30 seconds and about 180 seconds, for example, between about 60 seconds and about 120 seconds, at a composition temperature between about 60°C and about 90°C, such as between about 70°C and about 80°C.
  • the electroless solution is generally provided at a flow rate between about 50 ml/min and about 2000 ml/min, for example, between about 700 ml/min and about 900 ml/min including about 750 ml/min.
  • a total application of between about 120 ml and about 200 ml of electroless solution was provided to deposit the electroless layer.
  • the electroless solution generally provides for the deposition of a metal layer to a thickness of about 500 A or less, such as between about 300 A and about 400 A.
  • An example of a cobalt electroless composition for forming the metal layer with a borane-containing reducing agent includes about 20 g/L of cobalt sulfate, about 50 g/L of sodium citrate, about 4 g/L of dimetylamineborane, with sufficient potassium hydroxide to provide a pH of between about 10 and about 12 for the composition, which is applied to the substrate surface for about 120 seconds at a flow rate of about 750 ml/min at a composition temperature of about 80°C.
  • a cobalt- tungsten-boron layer is deposited by the addition of about 10 g/L of sodium tungstate.
  • Borane-containing reducing agents in the metal electroless deposition process are believed to allow electroless deposition on exposed conductive material without the need for an initiation layer.
  • an initiation layer is first deposited on the substrate surface prior to the metal electroless deposition, the process is typically performed in two processing chambers.
  • the metal electroless deposition process occurs without the initiation layer, such as with the use of borane-containing reducing agents in the metal electroless deposition, the electroless process can be performed in one chamber.
  • the substrate surface is then exposed to an ultrasonic or megasonic cleaning process at Step 160.
  • the cleaning process uses a cleaning composition includes a dilute hydrochloric acid to provide a pH between about 1 and about 3 and de-ionized water.
  • the cleaning composition is generally applied to the substrate surface for between about 5 seconds and about 300 seconds at a temperature between about 15°C and about 80°C.
  • Agitation may be provided by ultrasonic or megasonic energy applied to the substrate support pedestal or substrate surface.
  • the ultrasonic energy is applied between about 10 and about 250 Watts, but such as between about 10 and about 100 Watts.
  • the ultrasonic energy may have a frequency of about 25kHz to about 200kHz, for example, greater than about 40kHz since this is out of the audible range and contains fewer disruptive harmonics. If one or more sources of ultrasonic energy are used, then simultaneous multiple frequencies may be used.
  • the ultrasonic energy may be applied between about 3 and about 600 seconds, but longer time periods may be used depending upon the application.
  • the acidic cleaning composition and application of ultrasonic or mega-sonic energy is believed clean any free cobalt particles, remove any cobalt oxide or reaction by-products, such as Co(OH)2 formed during deposition.
  • the cleaning solution is also believed to remove a thin layer of cobalt material, such as about 2 ⁇ A or less, to remove any random growth or lateral growth of cobalt materials on the substrate surface and over the exposed conductive materials.
  • the substrate may then be transferred for additional processing, such as annealing or subsequent deposition processes.
  • the method of depositing the material from an electroless solution may include applying a bias to a conductive portion of the substrate structure if available (i.e. a seed layer), such as a DC bias, during the electroless deposition process. It is believed that the bias helps to remove trapped hydrogen gas formed in the catalytic layer during the deposition process.
  • a bias helps to remove trapped hydrogen gas formed in the catalytic layer during the deposition process.
  • the initiation layer and/or metal layer may be annealed (i.e., heating) at a temperature between about 100°C to about 400°C, for example, between about 100°C to about 300°C.
  • the anneal may be performed in a vacuum, for example, at a pressure lower than 1 mTorr.
  • the anneal may be performed in a gas atmosphere, such as a gas atmosphere of one or more noble gases (such as Argon, Helium), nitrogen, hydrogen, and mixtures thereof.
  • the anneal is performed for a time period of at least about 1 minute.
  • the anneal is performed for a time period of about 1 to about 10 minutes.
  • the anneal may be conducted by a rapid thermal anneal process.
  • annealing the substrate promotes adhesion of the electroless deposited material to the substrate surface and exposed conductive materials, including barrier layers and seed layers. It is also believe that the anneal helps remove hydrogen formed in the electroless deposited materials during the deposition.
  • Embodiments of the processes described herein relate to depositing metal and metal suicide layers for passivation layers, barrier layers, seed layers, and metal suicide layers in feature formation.
  • the following embodiments are provided for illustrative purposes and should not be construed or interpreted as limiting the invention described herein.
  • a metal layer is deposited as a passivation layer on exposed features as shown in Figures 2A-2D.
  • a substrate 200 is provided having a feature 250 formed therein.
  • the feature 250 is formed by depositing and patterning a photoresist material by conventional photolithographic and etching techniques to define a feature opening 240 in one or more dielectric materials 210 and etching the dielectric materials 210 to define the aperture 240.
  • the one or more dielectric materials 210 include, for example, silicon dioxide, phosphorus-doped silicon glass (PSG), boron-phosphorus-doped silicon glass (BPSG), silicon carbide, carbon-doped silicon dioxide, as well as low dielectric constant materials, including fluoro-silicon glass (FSG), polymers, such as polymides, and carbon-containing silicon oxides, such as Black DiamondTM, available from Applied Materials, Inc. of Santa Clara, California.
  • FSG fluoro-silicon glass
  • polymers such as polymides
  • carbon-containing silicon oxides such as Black DiamondTM, available from Applied Materials, Inc. of Santa Clara, California.
  • the invention also contemplates that one or more dielectric materials 210 may include semi-conductive silicon-containing materials including polysilicon, doped polysilicon, or combinations thereof, deposited by methods known or unknown in the art.
  • a barrier layer 220 is deposited over the dielectric material.
  • the barrier layer 220 may be deposited to prevent or inhibit diffusion of subsequently deposited materials into the underlying substrate or dielectric layers.
  • Suitable barrier layer materials include refractory metals and refractory metal nitrides such as tantalum (Ta), tantalum nitride (TaNx), titanium (Ti), titanium nitride (TiNx), tungsten (W), tungsten nitride (WNx), cobalt, cobalt alloys such as cobalt-tungsten alloy, cobalt- phosphorus alloy, cobalt-tin alloys, cobalt-tungsten-phosphorus, cobalt-tungsten- boron, and combinations thereof.
  • the barrier layer may be deposited by chemical vapor deposition (CVD), physical vapor deposition (PVD), electroless deposition techniques, or molecular beam epitaxy among others.
  • the barrier layer may also be a multi-layered film deposited individually or sequentially by the same or by a combination of techniques, such as a tantalum nitride layer deposited on a tantalum layer, both layers deposited by a physical vapor deposition technique.
  • the aperture 240 is then filled by the deposition of a conductive material 230 into the feature.
  • Conductive materials 230 may include, for example, copper or tungsten.
  • the conductive material 230 may be deposited by chemical vapor deposition (CVD), physical vapor deposition (PVD), electrochemical deposition techniques, such as electroplating, or combinations thereof, with copper, for example, deposited by an electroplating technique.
  • CVD chemical vapor deposition
  • PVD physical vapor deposition
  • electrochemical deposition techniques such as electroplating, or combinations thereof, with copper, for example, deposited by an electroplating technique.
  • a seed layer (not shown) of a conductive material may be deposited before the conductive material 230 to nucleate and enhance the subsequent deposition of the conductive material 230.
  • the filled aperture may be further processed by planarizing the substrate surface and a top portion of the aperture to form feature 250, such as by chemical mechanical polishing (CMP).
  • CMP chemical mechanical polishing
  • portions of the one or more dielectric materials 210, the barrier layer 220, and the conductive material 230 are removed from the top of the structure leaving a planar surface having exposed conductive material 245 of the feature 250 in the dielectric materials 210 as shown in Figure 2A.
  • the substrate is then rinsed or cleaned.
  • One rinsing or cleaning process may include exposing to an acidic pre-clean or etching composition to remove at least a portion of a substrate surface as indicated by the dashed line 260 in Figure 2B prior to a rinsing step.
  • the pre-cleaning composition may, for example, include an acidic solution of about 0.5 wt.% of HF acid, which is applied to the substrate surface for between about 30 seconds and about 60 seconds at a composition temperature between about 20°C and about 25°C.
  • the etched substrate is then rinsed with deionized water to remove any pre-cleaning solution from the substrate surface.
  • An initiation layer 270 is then deposited on the substrate surface at Step 130.
  • the initiation layer 270 is deposited by the electroless deposition of a noble metal on the exposed conductive material of the substrate surface.
  • the initiation layer 270 is selectively formed on the exposed conductive materials 245.
  • the initiation layer may be deposited, for example, by an initiation electroless solution comprising between about 80 ppm and about 300 ppm palladium chloride (PdCI2) and sufficient hydrochloric acid (HCI) to produce a pH of between about 1 and about 3.
  • PdCI2 palladium chloride
  • HCI hydrochloric acid
  • the acidity of the initiation electroless solution is generally provided in sufficient amounts to be effective in removing or reducing oxides, such as metal oxides including copper oxides, from the metal or dielectric surface of the substrate during the electroless deposition process.
  • the initiation electroless solution is generally applied to the substrate surface for between about 5 seconds and about 60 seconds at a solution temperature between about 20°C and about 25°C, or at conditions sufficient to deposit the initiation layer to a thickness of about 10 A or less.
  • a boride layer may be formed by exposing the barrier layer to a composition including a borane-containing reducing agent, for example, about 4 g/L of dimethylamine borane (DMAB) and sufficient sodium hydroxide to provide a pH of about 9 for the composition, which is applied to the substrate surface for about 30 seconds at a composition temperature of about 25°C. The substrate surface is then rinsed with deionized water to remove any remaining electroless solution or borane- containing composition.
  • a borane-containing reducing agent for example, about 4 g/L of dimethylamine borane (DMAB) and sufficient sodium hydroxide to provide a pH of about 9 for the composition, which is applied to the substrate surface for about 30 seconds at a composition temperature of about 25°C.
  • the substrate surface is then rinsed with deionized water to remove any remaining electroless solution or borane- containing composition.
  • the passivation layer is deposited from an electroless technique using an electroless solution containing a metal salt and a reducing agent.
  • a passivation electroless solution of between about 2.5 g/L and about 20 g/L, of cobalt chloride and cobalt sulfate, and between about 15 g/L and about 30 g/L, of sodium hypophosphite, and sufficient base to provide a pH level of between about 9 and about 11 , may be used to form the passivation layer.
  • Dimethylamine borane may be used as the reducing agent at a concentration between about 0.25 g/L and about 6 g/L.
  • the passivation electroless solution is generally applied to the substrate surface for between about 5 seconds and about 120 seconds at a solution temperature between about 20°C and about 25°C.
  • the substrate surface is then cleaned using a cleaning composition comprising HCI at a pH between about 1 and about 3 for between about 5 seconds and about 300 seconds at a solution temperature between about 15°C and about 80°C.
  • Ultrasonic energy is applied to the cleaning composition and/or substrate to improve the cleaning process.
  • the cleaning composition is generally applied under conditions sufficient to remove about 20 A or less of the passivation layer.
  • a seed layer or barrier layer by an electroless deposition processes described herein in a metallization process is provided.
  • the invention contemplates depositing a barrier layer by the electroless process described herein by exposing a dielectric surface of the substrate directly to a composition for forming an initiation layer.
  • the initiation layer will form on the dielectric surface and allow for the deposition of the metal layer, such as cobalt, thereon.
  • the initiation layer may form continuously or non- continuously over the exposed dielectric surface.
  • palladium can be deposited on the dielectric material for a cobalt barrier deposition. If cobalt is used a barrier layer material, the seed layer may be a copper material.
  • a seed layer is deposited by the electroless process described herein in a metallization scheme as shown in Figures 3A-3D.
  • a substrate 300 is provided having an aperture 320 formed in one or more dielectric materials 310.
  • the aperture 320 is formed by depositing and patterning a photoresist material by conventional photolithographic and etching techniques to define a feature opening in one or more dielectric materials 310 and then etching the dielectric materials 310 to define the aperture 320.
  • the one or more dielectric materials 310 include, for example, silicon dioxide, phosphorus-doped silicon glass (PSG), boron-phosphorus-doped silicon glass (BPSG), silicon carbide, carbon-doped silicon dioxide, as well as low dielectric constant materials, including fluoro-silicon glass (FSG), polymers, such as polymides, and carbon-containing silicon oxides, such as Black DiamondTM, available from Applied Materials, Inc. of Santa Clara, California.
  • FSG fluoro-silicon glass
  • polymers such as polymides
  • carbon-containing silicon oxides such as Black DiamondTM, available from Applied Materials, Inc. of Santa Clara, California.
  • layer 310 may include semi-conductive silicon-containing materials including polysilicon, doped polysilicon, or combinations thereof, deposited by methods known or unknown in the art.
  • a barrier layer 330 is deposited in the aperture 320 and over the dielectric material forming the substrate surface as shown in Figure 3B.
  • the barrier layer 330 may be deposited to prevent or inhibit diffusion of subsequently deposited materials over the barrier layer 330 into the underlying substrate or dielectric layers.
  • Suitable barrier layer materials include refractory metals and refractory metal nitrides such as tantalum (Ta), tantalum nitride (TaNx), titanium (Ti), titanium nitride (TiNx), tungsten (W), tungsten nitride (WNx), cobalt, cobalt alloys such as cobalt-tungsten alloy, cobalt-phosphorus alloy, cobalt-tin alloys, cobalt-tungsten-phosphorus, cobalt- tungsten-boron, and combinations thereof.
  • the barrier layer 330 may be deposited by chemical vapor deposition (CVD), physical vapor deposition (PVD), electroless deposition techniques, or molecular beam epitaxy among others.
  • the barrier layer 330 may also be a multi-layered film deposited individually or sequentially by the same or by a combination of techniques, such as a tantalum nitride layer deposited on a tantalum layer, both layers deposited by a physical vapor deposition technique.
  • a seed layer 340 of a metal layer is deposited over the barrier layer 330 by an electroless deposition process as shown in Figure 3C.
  • Suitable seed layer materials include cobalt, cobalt alloys such as cobalt-tungsten alloy, cobalt- phosphorus alloy, cobalt-tin alloys, cobalt-tungsten-phosphorus, cobalt-tungsten- boron, and combinations thereof.
  • the seed layer may be deposited by first forming or depositing an initiation layer and then the bulk of the seed layer material.
  • the initiation layer may be a noble metal deposited by an initiation electroless solution comprising between about 80 ppm and about 300 ppm palladium chloride (PdCI2) and sufficient hydrochloric acid (HCI) to produce a pH of between about 1 and about 3.
  • PdCI2 palladium chloride
  • HCI hydrochloric acid
  • the initiation electroless solution is generally applied to the substrate surface for between about 5 seconds and about 60 seconds at a solution temperature between about 20°C and about 25°C, or at conditions sufficient to deposit the initiation layer to a thickness of about 10 A or less.
  • a boride layer may be formed by exposing the barrier layer to a composition including a borane-containing reducing agent, for example, about 4 g/L of dimethylamine borane (DMAB) and sufficient sodium hydroxide to provide a pH of about 9 for the composition, which is applied to the substrate surface for about 30 seconds at a composition temperature of about 25°C. The substrate surface is then rinsed with deionized water to remove any remaining electroless solution or borane- containing composition.
  • a borane-containing reducing agent for example, about 4 g/L of dimethylamine borane (DMAB) and sufficient sodium hydroxide to provide a pH of about 9 for the composition, which is applied to the substrate surface for about 30 seconds at a composition temperature of about 25°C.
  • the substrate surface is then rinsed with deionized water to remove any remaining electroless solution or borane- containing composition.
  • the bulk of the seed layer material such as cobalt or cobalt alloy
  • the bulk of the seed layer material is deposited from an electroless technique using an electroless solution containing a metal salt and a reducing agent.
  • an electroless solution of between about 2.5 g/L and about 20 g/L, of cobalt chloride and/or cobalt sulfate, and between about 15 g/L and about 30 g/L, of sodium hypophosphite, and sufficient base to provide a pH level of between about 9 and about 11 , may be used.
  • Dimethylamine borane may be used as the reducing agent at a concentration between about 0.25 g/L and about 6 g/L.
  • the electroless solution is generally applied to the substrate surface for between about 5 seconds and about 120 seconds at a solution temperature between about 20°C and about 25°C.
  • the substrate surface is then cleaned using a cleaning composition comprising HCI at a pH between about 1 and about 3 for between about 5 seconds and about 300 seconds at a solution temperature between about 15°C and about 80°C.
  • Ultrasonic energy is applied to the cleaning composition and/or substrate to improve the cleaning process.
  • the cleaning composition is generally applied under conditions sufficient to remove about 20 A or less of the seed layer 340.
  • the aperture is then filled by the deposition of a conductive material 350 into the feature.
  • Conductive materials 350 may include, for example, copper or tungsten.
  • the conductive material 350 may be deposited by chemical vapor deposition (CVD), physical vapor deposition (PVD), electrochemical deposition techniques, such as electroplating, or combinations thereof, with copper, for example, deposited by an electroplating technique.
  • CVD chemical vapor deposition
  • PVD physical vapor deposition
  • electrochemical deposition techniques such as electroplating, or combinations thereof, with copper, for example, deposited by an electroplating technique.
  • An example of a conductive fill of tungsten on a cobalt barrier or seed layer is more fully described in U. S. Patent Application Serial No. 10/044,412, filed on 1/152002, entitled, "Barrier Formation Using A Novel Sputter Deposition Method", which is incorporated by reference herein to the extent not inconsistent with the disclosure or claims herein.
  • the filled aperture may be further processed by annealing or planarizing the top portion of the aperture to form a feature, such as by chemical mechanical polishing (CMP).
  • CMP chemical mechanical polishing
  • portions of the one or more dielectric materials 310, the barrier layer 330, the seed layer 340, and the conductive material 350 are removed from the top of the structure leaving a fully planar surface leaving exposed conductive material 350 in the dielectric materials 310.
  • a metal suicide layer may be formed by depositing a metal on a silicon- containing material and annealing the metal and silicon-containing material to form a metal suicide layer.
  • Metal suicide is broadly defined herein as an alloy of metal and silicon, which may exist in multiple valence phases. For example cobalt and silicon can exist in the CoSi and CoSi2 phases.
  • the annealing process to form the metal suicide layer may be performed in one or more annealing steps and may be performed concurrently with further deposition processes.
  • the invention contemplates the use of other materials, including nickel, tin, titanium, tantalum, tungsten, molybdenum, platinum, iron, niobium, palladium, platinum, and combinations thereof, and other alloys including nickel cobalt alloys, cobalt tungsten alloys, cobalt nickel tungsten alloys, doped cobalt and nickel alloys, or nickel iron alloys, to form the metal suicide material as described herein.
  • One example of a metal suicide application includes the formation of a MOS device shown in Figure 4.
  • conductive N+ source and drain regions 402 and 404 are formed in a P type silicon substrate 400 adjacent field oxide portions 406.
  • a gate oxide layer 408 and a polysilicon gate electrode 410 are formed over silicon substrate 400 in between source and drain regions 402 and 404 with oxide spacers 412 formed on the sidewalls of polysilicon gate electrode 410.
  • a cobalt layer is deposited over the MOS structure, and in particular over the exposed silicon surfaces of the conductive source and drain regions 402 and 404, and the exposed top surface of polysilicon gate electrode 410 by the process described herein.
  • the cobalt layer may be deposited by the processes described herein.
  • an initiation layer is first deposited over the substrate surface and in particular over the exposed silicon surfaces of the conductive source and drain regions 402 and 404.
  • the initiation layer (not shown) may include a noble metal, of which noble metals that form suicides are typically used.
  • the initiation layer is deposited by an initiation electroless solution comprising between about 80 ppm and about 300 ppm palladium chloride (PdCI2) and sufficient hydrochloric acid (HCI) to produce a pH of between about 1 and about 3.
  • PdCI2 palladium chloride
  • HCI hydrochloric acid
  • the initiation electroless solution is generally applied to the substrate surface for between about 5 seconds and about 60 seconds at a solution temperature between about 20°C and about 25°C, or at conditions sufficient to deposit the initiation layer to a thickness of about 10 A or less.
  • a boride layer may be formed by exposing the silicon-based materials to a composition including a borane-containing reducing agent, for example, about 4 g/L of dimethylamine borane (DMAB) and sufficient sodium hydroxide to provide a pH of about 9 for the composition, which is applied to the substrate surface for about 30 seconds at a composition temperature of about 25°C. The substrate surface is then rinsed with deionized water to remove any remaining electroless solution or borane-containing composition.
  • a metal layer of cobalt or cobalt alloy is then deposited on the initiation layer. The cobalt layer is deposited from an electroless technique using an electroless solution containing a cobalt salt and a reducing agent.
  • an electroless solution of between about 2.5 g/L and about 20 g/L, of cobalt chloride and/or cobalt sulfate, and between about 15 g/L and about 30 g/L, of sodium hypophosphite, and sufficient base to provide a pH level of between about 9 and about 11 may be used.
  • Dimethylamine borane may be used as the reducing agent at a concentration between about 0.25 g/L and about 6 g/L.
  • the electroless solution is generally applied to the substrate surface for between about 5 seconds and about 120 seconds at a solution temperature between about 20°C and about 25°C.
  • the substrate surface may then be cleaned prior to subsequent processing [0092]
  • the cobalt material is deposited to a thickness of about 1000 A or less for the subsequent reaction with the underlying silicon at 402 and 404.
  • cobalt may be deposited to a thickness between about 50 A and about 500 A on the silicon material.
  • the cobalt layer is then annealed by a two-step annealing process to form cobalt suicide.
  • a two step annealing process is used to convert the metal layer to a first phase of metal suicide, such as partially or completely converting cobalt and silicon to a first cobalt suicide (CoSi) phase, in a first annealing process; and substantially converted the metal layer to the desired suicide phase, such as such as converting the first cobalt suicide (CoSi) phase to a cobalt suicide (CoSi2) product, in a second annealing step.
  • the one or more annealing steps are generally performed at an annealing temperature between about 300°C and about 900°C and may be for a time between about 10 seconds and about 600 seconds each.
  • the substrate may be heated to a temperature between about 400°C and about 600°C for between about 5 seconds and about 300 seconds, such as about 500°C for between about 60 seconds and about 120 seconds, and then heated to a temperature between about 600°C and about 900°C for a period of time between about 5 seconds and about 300 seconds to form the metal suicide layer, such as at 800°C for between about 60 seconds and 120 seconds.
  • the first annealing step may be performed immediately after deposition of the cobalt layer.
  • the second annealing step may be performed before, after, or during deposition of subsequent materials, such as during a chemical vapor deposition of a tungsten fill layer.
  • the second annealing process generally has a higher annealing temperature than the first annealing process.
  • Dielectric materials 422 may be deposited over the formed structure and etched to provide contact definitions 420 in the device.
  • any unreacted cobalt from the annealing processes may be removed from the substrate surface, typically by a wet etch process or plasma etch process, and the cobalt suicide remains as cobalt suicide (CoSi2) portions 414, 416, and 418 of uniform thickness respectively formed over polysilicon gate electrode 410 and over source and drain regions 402 and 404 in silicon substrate 400.
  • Unreacted cobalt may be removed by a plasma process in a DPSTM chamber located on the same vacuum processing system, or may be transferred to another processing system for processing. Wet etch process are typically performed in a second processing system.
  • a selective etch of the unreacted metal layer from the metal suicide layer may be performed concurrently or after annealing. Additional deposition of materials, such as a layer of barrier material or the second metal layer, may be performed concurrently or after annealing.
  • a barrier or liner layer of a material such as titanium nitride, may be deposited on the cobalt material to further enhance the barrier properties of the cobalt layer.
  • the deposition of the titanium nitride layer may replace the step of removing unreacted cobalt as described above.
  • the unreacted cobalt and titanium may be removed by the etch process after annealing of the substrate surface according to the anneal processes described herein.

Abstract

Methods and apparatus are provided for forming a metal or metal silicide layer by an electroless deposition technique. In one aspect, a method is provided for processing a substrate including depositing an initiation layer on a substrate surface, cleaning the substrate surface, and depositing a conductive material on the initiation layer by exposing the initiation layer to an electroless solution. The method may further comprise etching the substrate surface with an acidic solution and cleaning the substrate of the acidic solution prior to depositing the initiation layer. The initiation layer may be formed by exposing the substrate surface to a noble metal electroless solution or a borane-containing solution. The conductive material may be deposited with a borane-containing reducing agent. The conductive material may be used as a passivation layer, a barrier layer, a seed layer, or for use in forming a metal silicide layer.

Description

ELECTROLESS DEPOSITION METHODS
BACKGROUND OF THE INVENTION
Field of the Invention
[0001] The present invention relates to the fabrication of semiconductor devices and to the apparatus and methods for deposition, removal, and modification of materials on a semiconductor substrate.
Description of the Related Art
[0002] Recent improvements in circuitry of ultra-large scale integration (ULSI) on semiconductor substrates indicate that future generations of semiconductor devices will require sub-quarter micron multi-level metallization. The multilevel interconnects that lie at the heart of this technology require planarization of interconnect features formed in high aspect ratio apertures, including contacts, vias, lines and other features. Reliable formation of these interconnect features is very important to the success of ULSI and to the continued effort to increase circuit density and quality on individual substrates and die as features decrease below 0.13 μm in size. [0003] Currently, copper and its alloys have become the metals of choice for sub- micron interconnect technology because copper has a lower resistivity than aluminum, (1.7 μΩ-cm compared to 3.1 μΩ-cm for aluminum), a higher current carrying capacity, and significantly higher electromigration resistance. These characteristics are important for supporting the higher current densities experienced at high levels of integration and increased device speed. Further, copper has a good thermal conductivity and is available in a highly pure state. [0004] Electroplating is one process being used to fill high aspect ratio features on substrates. Electroplating processes typically require a thin, electrically conductive seed layer to be deposited on the substrate. Electroplating is accomplished by applying an electrical current to the seed layer and exposing the substrate to an electrolytic solution containing metal ions that plate over the seed layer. [0005] Electroless deposition is another process used to deposit conductive materials. Although electroless deposition techniques have been widely used to deposit conductive metals over non-conductive printed circuit boards, electroless deposition techniques have not been extensively used for forming interconnects in VLSI and ULSI semiconductors. Electroless deposition involves an auto catalyzed chemical deposition process that does not require an applied current for a plating reaction to occur. Electroless deposition typically involves exposing a substrate to a solution by immersing the substrate in a bath or by spraying the solution over the substrate.
[0006] However, copper readily forms copper oxide when exposed to atmospheric conditions or environments outside of processing equipment and requires a passivation layer to prevent metal oxide formation. Metal oxides can result in an increase the resistance of metal layers, become a source of particle problems, and reduce the reliability of the overall circuit.
[0007] Additionally, metal oxides may also detrimentally affect subsequent processing. In one example, oxides may interfere with electroless deposition techniques. Electroless deposition techniques require a surface capable of electron transfer for nucleation, i.e., catalyzing, of a conductive material over that surface, and oxidized surfaces, for example on copper seed layers and metal barrier layers, cannot sufficiently participate in electron transfer for effective electroless deposition. [0008] One solution is to deposit a passivation layer or encapsulation layer on the metal layer to prevent metal oxide formation. Cobalt and cobalt alloys have been observed as suitable materials for passivating copper. Cobalt may also be deposited by electroless deposition techniques on copper. However, copper does not satisfactorily catalyze or initiate deposition of materials from electroless solutions. One solution is to initiate deposition from an electroless solution by contacting the copper substrate with a ferrous material that initiates deposition though a galvanic reaction. However, the process requires a continuous conductive surface over the substrate surface that may not be possible with some passivation applications. Another solution is to activate the copper surface by depositing a catalytic material on the copper surface. However, deposition of the catalytic material may require multiple steps or use catalytic colloid compounds. Catalytic colloid compounds may adhere to dielectric materials and result in undesired, excessive, and non-selective deposition of the passivation material on the substrate surface. Non-selective deposition of passivation material may lead to surface contamination, unwanted diffusion of conductive materials into dielectric materials, and even device failure from short circuits and other device irregularities. [0009] Therefore, there is a need for a method and composition for electroless deposition of conductive materials in sub-micron features in a substrate surface.
SUMMARY OF THE INVENTION
[0010] Embodiments of the invention described herein generally provide methods and compositions for forming a metal or a metal suicide layer using an electroless deposition process. In one aspect, a method is provided for processing a substrate including polishing a substrate surface to expose a first conductive material disposed in a dielectric material, depositing an initiation layer on the first conductive material, cleaning the substrate surface of the first electroless solution, and depositing a second conductive material on the initiation layer by exposing the initiation layer to an electroless solution. The initiation layer may be formed by exposing the substrate surface to a noble metal electroless solution. The second conductive material may be deposited as a passivation layer, a barrier layer, a seed layer, or for use in forming a metal suicide layer.
[0011] In another aspect, a method is provided for processing a substrate including polishing a substrate surface to expose a first conductive material disposed in a dielectric material, etching the substrate surface with an acidic solution, cleaning the substrate of the acidic solution, depositing an initiation layer selectively on the first conductive material by exposing the substrate surface to a first electroless solution, cleaning the substrate surface of the first electroless solution, and depositing a second conductive material on the initiation layer by exposing the initiation layer to a second electroless solution. The initiation layer may be formed by exposing the substrate surface to a noble metal electroless solution. The second conductive material may be deposited as a passivation layer, a barrier layer, a seed layer, or for use in forming a metal suicide layer.
[0012] In another aspect, a method is provided for processing a substrate including polishing a substrate surface to expose a first conductive material disposed in a dielectric material, exposing the substrate surface to a solution comprising a boron- containing reducing agent, forming initiation sites on the exposed first conductive material, and depositing a second conductive material on the initiation sites by exposing the substrate surface to an electroless solution containing a reducing agent. The second conductive material may be deposited as a passivation layer, a barrier layer, a seed layer, or for use in forming a metal suicide layer. [0013] In another aspect, a method is provided for processing a substrate including polishing a substrate surface to expose a first conductive material disposed in a dielectric material and depositing a second conductive material on the first conductive metal by exposing the substrate surface to an electroless solution containing a boron-containing reducing agent. The second conductive material may be deposited as a passivation layer, a barrier layer, a seed layer, or for use in forming a metal suicide layer.
BRIEF DESCRIPTION OF THE DRAWINGS
[0014] So that the manner in which the above recited aspects of the invention are attained and can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to the embodiments thereof which are illustrated in the appended drawings.
[0015] It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
[0016] Figure 1 is a flow chart illustrating steps undertaken in depositing conductive layers according to one embodiment of the invention;
[0017] Figures 2A-2C are schematic sectional views of one deposition process described herein;
[0018] Figures 3A-3C are schematic sectional views of one deposition process described herein; and
[0019] Figure 4 is a simplified sectional view of a suicide material used as a contact with a transistor.
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT
[0020] Embodiments of the invention described herein provide methods and apparatus for depositing a conductive material by an electroless process. One material that may be deposited is cobalt or cobalt alloys, which may be deposited as a passivation layer, a barrier layer, a seed layer, or used in the formation of a metal suicide layer.
[0021] The words and phrases used herein should be given their ordinary and customary meaning in the art by one skilled in the art unless otherwise further defined. Electroless deposition is broadly defined herein as deposition of a conductive material generally provided as charged ions in a bath over a catalytically active surface to deposit the conductive material by chemical reduction in the absence of an external electric current.
[0022] The processes described herein are performed in apparatus suitable for performing electroless deposition processes. Suitable apparatus include an Electra™ ECP processing platform or Link™ processing platform that are commercially available from Applied Materials, Inc., located in Santa Clara, California. The Electra Cu™ ECP platform, for example, includes an integrated processing chamber capable of depositing a conductive material by an electroless process, such as an electroless deposition processing (EDP) cell, which are commercially available from Applied Materials, Inc., located in Santa Clara, California. The Electra Cu™ ECP platform generally includes one or more electroless deposition processing (EDP) cells as well as one or more pre-deposition or post-deposition cell, such as spin-rinse-dry (SRD) cells, etch chambers, or annealing chambers. The Electra™ ECP processing platform is more fully described in United States Patent No. 6,258,223, issued on July 10, which is incorporated by reference herein the extent not inconsistent with the claimed aspects and description herein. Embodiment of the Link™ processing platform are described in United States Patent Application Serial No. 09/603,792, filed on June 26, 2000, and in United States Patent Application Serial No. 09/891 ,849, filed on June 25, 2001 , which are incorporated by reference herein the extent not inconsistent with the claimed aspects and description herein. The Electroless Deposition Process
[0023] In one aspect, a conductive material may be deposited as a passivation layer on exposed conductive materials after a planarization or material removal process. In one embodiment, the passivation layer is deposited by the use of an initiation layer formed by the electroless deposition of a noble metal. In another embodiment, an initiation layer is formed using a borane-containing solution to form a metal boride layer. Optionally, an acidic pre-treatment can be used prior to depositing or forming the initiation layer. The electroless conductive layer can be deposited as a barrier layer or a seed layer in a metallization process. In another aspect, an electroless conductive layer is deposited on a silicon-containing mateπal and annealed to form a metal suicide layer. Cobalt and cobalt alloys are examples of compounds that are deposited by the conductive material electroless deposition process.
[0024] Figure 1 is a flow chart illustrating steps undertaken in depositing conductive layers according to one embodiment of the invention. A substrate is introduced into the process 100 and exposed to an acidic pre-clean or etching process to remove at least a portion of a substrate surface at Step 110. The substrate surface generally comprises both dielectric materials and conductive materials. The etched substrate is then rinsed with a rinsing agent, such as deionized water, at Step 120. [0025] An initiation layer is then deposited on the substrate surface at Step 130. The initiation layer may be electroless deposition of a noble metal on the exposed conductive material of the substrate surface or may be a metal boride formed from the exposure of the exposed conductive metal to a borane-containing solution. The initiation layer generally forms selectively on the exposed conductive materials. [0026] The substrate surface is then rinsed with a rinsing agent to remove the electroless solution or borane-containing solution at Step 140. A second conductive material is then electroless deposited on the initiation layer at Step 150.. The second conductive material is generally cobalt or a cobalt alloy. The second conductive material is selectively deposited on the exposed initiation layer. The substrate surface is then cleaned using an ultrasonic or megasonic cleaning process at Step 160.
[0027] The pre-cleaning composition is an acidic solution, such as an inorganic acid solution. In one aspect, the acidic solution may comprise between about 0.2 weight percent (wt.%) and about 5 wt.% of hydrofluoric acid (HF), for example, about 0.5 wt.% of HF acid. The acid solution may also comprise nitric acid at a concentration of between about 1 M and about 5 M, for example about 1 M. Alternatively, the nitric acid solution may comprise a ratio of nitric acid to water, such as deionized water, at a ratio of about 5:1 and about 1 :5.
[0028] The acidic solution may also comprises a composition of sulfuric acid at a concentration of between about 0.5 vol% and about 10 vol% of the composition, for example between about 1 vol% and about 5 vol%, and hydrogen peroxide at a concentration between about 5 vol% and about 40 vol% of 35% hydrogen peroxide, for example about 20 vol% concentration of 35% hydrogen peroxide. [0029] The pre-cleaning composition is generally applied to the substrate surface for between about 5 seconds and about 300 seconds, for example, between about 30 seconds and about 60 seconds, at a flow rate between about 50 ml/min and about 2000 ml/min, for example, between about 700 ml/min and about 900 ml/min including about 750 ml/min, and at a composition temperature between about 15°C and about 60°C, such as between about 20°C and about 25°C. Alternatively, a total application of between about 120 ml and about 200 ml of the pre-cleaning solution may be used to treat the substrate surface. The pre-cleaning solution may be applied in the same processing chamber or processing cell as subsequent deposition processes. An example of the pre-cleaning composition is about 0.5 wt.% of hydrofluoric acid, which may be applied at a flow rate of about 750 ml for about 60 seconds at a composition temperature between about 20°C and about 25°C.
[0030] The pre-cleaning solution of Step 110 is applied to remove or etch a top portion of the exposed dielectric layer, such as between about 10 A and about 50 A, which may contain contaminant conductive materials from a prior processing step. For example, stray copper ions may contaminant the top portion of a dielectric material following a chemical mechanical polishing or planarizing process. [0031] A rinsing agent, typically deionized water, is then applied to the substrate surface to remove any remaining pre-cleaning composition, any etched materials and particles, and any by-products that may have formed during the pre-cleaning process at Step 120. The rinsing agent is generally applied to the substrate surface for between about 5 seconds and about 300 seconds, for example, between about 30 seconds and about 60 seconds, at a flow rate between about 50 ml/min and about 2000 ml/min, for example, between about 700 ml/min and about 900 ml/min including about 750 ml/min, and at a temperature between about 15°C and about 80°C, such as between about 20°C and about 25°C. Alternatively, a total application of between about 120 ml and about 200 ml of the rinsing agent may be used to treat the substrate surface. The rinsing agent may be applied by spraying method as well as by any other method for cleaning a substrate, such as by rinsing in an enclosure containing a cleaning solution or bath. An example of the rinsing agent is deionized water, which may be applied at a flow rate of about 750 ml for about 60 seconds at a temperature between about 20°C and about 25°C. [0032] In one embodiment, an initiation layer is formed on the exposed conductive materials by the electroless deposition of a noble metal in Step 130. The noble metal is selected from the group of palladium, platinum, or combinations thereof. The invention contemplates the use of other noble metals, such as gold, silver, iridium, rhenium, rhodium, rhenium, ruthenium, osmium, and combinations thereof. The noble metal is deposited from an electroless solution containing at least a noble metal salt, and an inorganic acid. Examples of noble metal salts include palladium chloride (PdCI2), palladium sulfate (PdS04), palladium ammonium chloride, and combinations thereof. Examples of inorganic acids include hydrochloric acid (HCI), sulfuric acid (H2S04), hydrofluoric acid (HF) and combinations thereof. Alternatively, inorganic acids, such as carboxylic acids including acetic acid (CH3COOH), may be used in the electroless solution for the initiation layer. [0033] The noble metal salt may be in the electroless solution at a concentration between about 20 parts per million (ppm) and about 20 g/liter, such as between about 80 ppm and about 300 ppm, and, for example, about 120 ppm. The concentration of the metal salt may also be described as a volume percent with 1 vol% corresponding to about 40 ppm. For example, 120 ppm of the noble metal salt correspond to about 3 vol%. The inorganic acid is used to provide an acidic electroless composition, for example, a pH of about 7 or less. A pH level between about 1 and about 3 has been observed to be effective in electroless deposition of the noble metals from the electroless solution. An acidic solution has also been observed to be effective in removing or reducing oxides, such as metal oxides including copper oxides, from the metal or dielectric surface of the substrate during the electroless deposition process.
[0034] The electroless solution for the initiation layer is generally applied to the substrate surface for between about 1 second and about 300 seconds, for example, between about 5 seconds and about 60 seconds, at a composition temperature between about 15°C and about 80°C, such as between about 20°C and about 25°C. The electroless solution is generally provided at a flow rate between about 50 ml/min and about 2000 ml/min, for example, between about 700 ml/min and about 900 ml/min including about 750 ml/min. In one aspect a total application of about 120 ml and about 200 ml of electroless solution was provided to deposit the electroless layer. The electroless solution generally provides for the deposition of a noble metal to a thickness of about 50 A or less, such as about 10 A or less. The initiation layer may be continuous or discontinuous.
[0035] An example of an electroless composition for depositing the initiation material includes about 3 vol% (120 ppm) of palladium chloride and sufficient hydrochloric acid to provide a pH of about 1.5 for the composition, which is applied to the substrate surface for about 30 seconds at a flow rate of about 750 ml/min at a composition temperature of about 25°C.
[0036] In another embodiment, the initiation layer is formed by rinsing or exposing the exposed conductive materials to a borane-containing composition in Step 130. The borane-containing composition forms a metal boride layer selectively on the exposed conductive metals, which are catalytic sites for subsequent electroless deposition processes.
[0037] The borane-containing composition includes a borane reducing agent. Suitable borane-containing reducing agents include alkali metal borohydrides, alkyl amine boranes, and combinations thereof. Examples of suitable borane-containing reducing agents include sodium borohydride, dimethylamine borane (DMAB), trimethylamine borane, and combinations thereof. The borane-containing reducing agent comprises between about 0.25 grams per liter (g/L) and about 6 g/L, for example, between about 2 g/L and about 4 g/L, of the boron-containing composition. The borane-containing composition may additionally include pH adjusting agents to provide a pH of between about 8 and about 13. Suitable pH adjusting agents include potassium hydroxide (KOH), sodium hydroxide (NaOH), ammonium hydroxide, ammonium hydroxide derivatives, such as tetramethyl ammonium hydroxide, and combinations thereof.
[0038] The conductive material is exposed to the borane-containing composition between about 30 seconds and about 180 seconds, for example, between about 60 seconds and about 120 seconds, at a composition temperature between about 15°C and about 80°C, such as between about 20°C and about 25°C. The borane- containing composition may be delivered to the substrate at a flow rate between about 50 ml/min and about 2000 ml/min, for example, between about 700 ml/min and about 900 ml/min including about 750 ml/min. In one aspect a total application of about 120 ml and about 200 ml of the borane-containing composition was provided to form the initiation layer of a metal boride compound. [0039] An example of a borane-containing composition for forming the layer includes about 4 g/L of dimethylamine borane (DMAB) and sufficient sodium hydroxide to provide a pH of about 9 for the composition, which is applied to the substrate surface for about 30 seconds at a flow rate of about 750 ml/min at a composition temperature of about 25°C.
[0040] A rinsing agent, typically deionized water, is then applied to the substrate surface to remove any solution used in forming the initiation layer at Step 140. The rinsing agent is generally applied to the substrate surface for between about 5 seconds and about 300 seconds, for example, between about 30 seconds and about 60 seconds, at a flow rate between about 50 ml/min and about 2000 ml/min, for example, between about 700 ml/min and about 900 ml/min including about 750 ml/min, and at a temperature between about 15°C and about 80°C, such as between about 20°C and about 25°C. Alternatively, a total application of between about 120 ml and about 200 ml of the rinsing agent may be used to treat the substrate surface. The rinsing agent may be applied by spraying method as well as by any other method for cleaning a substrate, such as by rinsing in an enclosure containing a cleaning solution or bath. An example of the rinsing agent is deionized water, which may be applied at a flow rate of about 750 ml for about 60 seconds at a temperature between about 20°C and about 25°C.
[0041] A metal layer is deposited by an electroless process on the initiation layer at Step 150. In one aspect, the metal layer comprises cobalt or a cobalt alloy. Cobalt alloys include cobalt-tungsten alloy, cobalt-phosphorus alloy, cobalt-tin alloys, cobalt-boron alloys, including ternary alloys, such as cobalt-tungsten-phosphorus and cobalt-tungsten-boron. However, the invention contemplates the use of other materials, including nickel, tin, titanium, tantalum, tungsten, molybdenum, platinum, iron, niobium, palladium, platinum, and combinations thereof, and other alloys including nickel cobalt alloys, doped cobalt and doped nickel alloys, or nickel iron alloys, to form the metal layer as described herein.
[0042] In one embodiment, the metal material is deposited from an electroless solution containing at least a metal salt and a reducing agent. The electroless solution may further include additives to improve deposition of the metal. Additives may include surfactants, complexing agents, pH adjusting agents, or combinations thereof. [0043] Suitable metal salts include chlorides, sulfates, sulfamates, or combinations thereof. An example of a metal salt is cobalt chloride. The metal salt may be in the electroless solution at a concentration between about 0.5 g/L and about 30 g/L, such as between about 2.5 g/L and about 25 g/L.
[0044] Cobalt alloys, such as cobalt-tungsten may be deposited by adding tungstic acid or tungstate salts including sodium tungstate, and ammonium tungstate, and combinations thereof for tungsten deposition. Phosphorus for the cobalt-tungsten- phosphorus deposition may be form by phosphorus-containing reducing agents, such as hypophosphite. Cobalt alloys, such as cobalt-tin may be deposited by adding stannate salts including stannic sulfate, stannic chloride, and combinations thereof. The additional metals salts, for example, for tungsten and tin, may be in the electroless solution at a concentration between about 0.5 g/L and about 30 g/L, such as between about 2.5 g/L and about 25 g/L.
[0045] Suitable reducing agents include sodium hypophosphite, hydrazine, formaldehyde, and combinations thereof. The reducing agents may also include borane-containing reducing agents, such as dimethylamine borane and sodium borohydride. The reducing agents have a concentration between about 1 g/L and about 30 g/L of the electroless solution. For example, hypophosphite may be added to the electroless between about 15 g/L and about 30 g/L of the electroless composition.
[0046] Additives include surfactants, such as RE 610, complexing agents including salts of carboxylic acids, for example, sodium citrate and sodium succinate, pH adjusting agents including sodium hydroxide and potassium hydroxide, and combinations thereof. The additives can be used to control deposition properties of the electroless solution. For example, stabilizers prevent unwanted side reactions while complexing agents may limit available ions in the electroless solution for deposition of the substrate surface. Additives have a concentration between about 0.01 g/L and about 50 g/L of the electroless solution, such as between about 0.05 g/L and about 4 g/L, of the electroless solution. An example of an additive is the surfactant RE 610, which may be added to the electroless composition at a concentration between about 0.01 g/L and about 5 g/L. Stabilizers, for example, thiourea and glycolic acid, may also be in the composition at a concentration of about 1 wt.% or less, such as about 0.01 wt.%. [0047] Forming the metal layer includes applying the metal electroless solutions described herein to the substrate surface for between about 30 seconds and about 180 seconds, for example, between about 60 seconds and about 120 seconds, at a composition temperature between about 60°C and about 90°C, such as between about 70°C and about 80°C. The electroless solution is generally provided at a flow rate between about 50 ml/min and about 2000 ml/min, for example, between about 700 ml/min and about 900 ml/min including about 750 ml/min. In one aspect a total application of between about 120 ml and about 200 ml of electroless solution was provided to deposit the electroless layer. The electroless solution generally provides for the deposition of a metal layer to a thickness of about 500 A or less, such as between about 300 A and about 400 A.
[0048] An example of a cobalt electroless composition for forming the metal layer includes about 20 g/L of cobalt sulfate, about 50 g/L of sodium citrate, about 20 g/L of sodium hypophosphite, with sufficient potassium hydroxide to provide a pH of between about 9 and about 11 for the composition, which is applied to the substrate surface for about 120 seconds at a flow rate of about 750 ml/min at a composition temperature of about 80°C. A cobalt-tungsten layer is deposited by the addition of about 10 g/L of sodium tungstate.
[0049] In an alternative embodiment of the metal deposition process, the metal material is deposited from an electroless solution containing at least a metal salt and a borane-containing reducing agent. Suitable metal salts include chlorides, sulfates, include chlorides, sulfates, sulfamates, or combinations thereof. An example of a metal salt is cobalt chloride. The metal salt may be in the electroless solution at a concentration between about 0.5 g/L and about 30 g/L, such as between about 2.5 g/L and about 25 g/L.
[0050] Cobalt alloys, such as cobalt-tungsten may be deposited by adding tungstic acid or tungstate salts including sodium tungstate, and ammonium tungstate, and combinations thereof for tungsten deposition. Phosphorus for the cobalt-tungsten- phosphorus deposition may be form by phosphorus-containing reducing agents, such as hypophosphite. Cobalt alloys, such as cobalt-tin may be deposited by adding stannate salts including stannic sulfate, stannic chloride, and combinations thereof. The additional metals salts, for example, for tungsten and tin, may be in the electroless solution at a concentration between about 0.5 g/L and about 30 g/L, such as between about 2.5 g/L and about 25 g/L. [0051] Suitable borane-containing reducing agents include alkali metal borohydrides, alkyl amine boranes, and combinations thereof. Examples of suitable borane-containing reducing agents include sodium borohydride, dimethylamine borane (DMAB), trimethylamine borane, and combinations thereof. The borane- containing reducing agent comprises between about 0.25 grams per liter (g/L) and about 6 g/L, for example, between about 2 g/L and about 4 g/L, of the boron- containing composition. The presence of borane-containing reducing agents allow for the formation of cobalt-boron alloys such as cobalt-tungsten-boron and cobalt- tin-boron among others.
[0052] Additives include surfactants, such as RE 610, complexing agents including salts of carboxylic acids, for example, sodium citrate and sodium succinate, and combinations thereof. The additives can be used to control deposition properties of the electroless solution. For example, stabilizers prevent unwanted side reactions while complexing agents may limit available ions in the electroless solution for deposition of the substrate surface.
[0053] Additives have a concentration between about 0.01 g/L and about 50 g/L of the electroless solution, such as between about 0.05 g/L and about 4 g/L, of the electroless solution. An example of an additive is the surfactant RE 610, which may be added to the electroless composition at a concentration between about 0.01 g/L and about 5 g/L. Stabilizers, for example, thiourea and glycolic acid, may also be in the composition at a concentration of about 1 wt.% or less, such as about 0.01 wt.%.
[0054] The borane-containing composition may additionally include pH adjusting agents to provide a pH of between about 8 and about 13. Suitable pH adjusting agents include potassium hydroxide (KOH), sodium hydroxide (NaOH), ammonium hydroxide, ammonium hydroxide derivatives, such as tetramethyl ammonium hydroxide, and combinations thereof.
[0055] Forming the metal layer includes applying the metal electroless solutions described herein to the substrate surface for between about 30 seconds and about 180 seconds, for example, between about 60 seconds and about 120 seconds, at a composition temperature between about 60°C and about 90°C, such as between about 70°C and about 80°C. The electroless solution is generally provided at a flow rate between about 50 ml/min and about 2000 ml/min, for example, between about 700 ml/min and about 900 ml/min including about 750 ml/min. In one aspect a total application of between about 120 ml and about 200 ml of electroless solution was provided to deposit the electroless layer. The electroless solution generally provides for the deposition of a metal layer to a thickness of about 500 A or less, such as between about 300 A and about 400 A.
[0056] An example of a cobalt electroless composition for forming the metal layer with a borane-containing reducing agent includes about 20 g/L of cobalt sulfate, about 50 g/L of sodium citrate, about 4 g/L of dimetylamineborane, with sufficient potassium hydroxide to provide a pH of between about 10 and about 12 for the composition, which is applied to the substrate surface for about 120 seconds at a flow rate of about 750 ml/min at a composition temperature of about 80°C. A cobalt- tungsten-boron layer is deposited by the addition of about 10 g/L of sodium tungstate.
[0057] Borane-containing reducing agents in the metal electroless deposition process are believed to allow electroless deposition on exposed conductive material without the need for an initiation layer. When an initiation layer is first deposited on the substrate surface prior to the metal electroless deposition, the process is typically performed in two processing chambers. When the metal electroless deposition process occurs without the initiation layer, such as with the use of borane-containing reducing agents in the metal electroless deposition, the electroless process can be performed in one chamber.
[0058] The substrate surface is then exposed to an ultrasonic or megasonic cleaning process at Step 160. The cleaning process uses a cleaning composition includes a dilute hydrochloric acid to provide a pH between about 1 and about 3 and de-ionized water. The cleaning composition is generally applied to the substrate surface for between about 5 seconds and about 300 seconds at a temperature between about 15°C and about 80°C.
[0059] Agitation may be provided by ultrasonic or megasonic energy applied to the substrate support pedestal or substrate surface. For example, the ultrasonic energy is applied between about 10 and about 250 Watts, but such as between about 10 and about 100 Watts. The ultrasonic energy may have a frequency of about 25kHz to about 200kHz, for example, greater than about 40kHz since this is out of the audible range and contains fewer disruptive harmonics. If one or more sources of ultrasonic energy are used, then simultaneous multiple frequencies may be used. The ultrasonic energy may be applied between about 3 and about 600 seconds, but longer time periods may be used depending upon the application. [0060] The acidic cleaning composition and application of ultrasonic or mega-sonic energy is believed clean any free cobalt particles, remove any cobalt oxide or reaction by-products, such as Co(OH)2 formed during deposition. The cleaning solution is also believed to remove a thin layer of cobalt material, such as about 2θA or less, to remove any random growth or lateral growth of cobalt materials on the substrate surface and over the exposed conductive materials. The substrate may then be transferred for additional processing, such as annealing or subsequent deposition processes.
[0061] Additionally, the method of depositing the material from an electroless solution, whether the initiation layer or metal layer, may include applying a bias to a conductive portion of the substrate structure if available (i.e. a seed layer), such as a DC bias, during the electroless deposition process. It is believed that the bias helps to remove trapped hydrogen gas formed in the catalytic layer during the deposition process.
[0062] The initiation layer and/or metal layer may be annealed (i.e., heating) at a temperature between about 100°C to about 400°C, for example, between about 100°C to about 300°C. The anneal may be performed in a vacuum, for example, at a pressure lower than 1 mTorr. Alternatively, the anneal may be performed in a gas atmosphere, such as a gas atmosphere of one or more noble gases (such as Argon, Helium), nitrogen, hydrogen, and mixtures thereof. In one embodiment, the anneal is performed for a time period of at least about 1 minute. In another embodiment, the anneal is performed for a time period of about 1 to about 10 minutes. The anneal may be conducted by a rapid thermal anneal process. It is believed that annealing the substrate promotes adhesion of the electroless deposited material to the substrate surface and exposed conductive materials, including barrier layers and seed layers. It is also believe that the anneal helps remove hydrogen formed in the electroless deposited materials during the deposition.
Metallization Deposition Processes
[0063] Embodiments of the processes described herein relate to depositing metal and metal suicide layers for passivation layers, barrier layers, seed layers, and metal suicide layers in feature formation. The following embodiments are provided for illustrative purposes and should not be construed or interpreted as limiting the invention described herein. Passivation layer deposition
[0064] In one aspect, a metal layer is deposited as a passivation layer on exposed features as shown in Figures 2A-2D. In Figure 2A, a substrate 200 is provided having a feature 250 formed therein. The feature 250 is formed by depositing and patterning a photoresist material by conventional photolithographic and etching techniques to define a feature opening 240 in one or more dielectric materials 210 and etching the dielectric materials 210 to define the aperture 240. The one or more dielectric materials 210 include, for example, silicon dioxide, phosphorus-doped silicon glass (PSG), boron-phosphorus-doped silicon glass (BPSG), silicon carbide, carbon-doped silicon dioxide, as well as low dielectric constant materials, including fluoro-silicon glass (FSG), polymers, such as polymides, and carbon-containing silicon oxides, such as Black Diamond™, available from Applied Materials, Inc. of Santa Clara, California. The invention also contemplates that one or more dielectric materials 210 may include semi-conductive silicon-containing materials including polysilicon, doped polysilicon, or combinations thereof, deposited by methods known or unknown in the art.
[0065] A barrier layer 220 is deposited over the dielectric material. The barrier layer 220 may be deposited to prevent or inhibit diffusion of subsequently deposited materials into the underlying substrate or dielectric layers. Suitable barrier layer materials include refractory metals and refractory metal nitrides such as tantalum (Ta), tantalum nitride (TaNx), titanium (Ti), titanium nitride (TiNx), tungsten (W), tungsten nitride (WNx), cobalt, cobalt alloys such as cobalt-tungsten alloy, cobalt- phosphorus alloy, cobalt-tin alloys, cobalt-tungsten-phosphorus, cobalt-tungsten- boron, and combinations thereof. The barrier layer may be deposited by chemical vapor deposition (CVD), physical vapor deposition (PVD), electroless deposition techniques, or molecular beam epitaxy among others. The barrier layer may also be a multi-layered film deposited individually or sequentially by the same or by a combination of techniques, such as a tantalum nitride layer deposited on a tantalum layer, both layers deposited by a physical vapor deposition technique. [0066] The aperture 240 is then filled by the deposition of a conductive material 230 into the feature. Conductive materials 230 may include, for example, copper or tungsten. The conductive material 230, may be deposited by chemical vapor deposition (CVD), physical vapor deposition (PVD), electrochemical deposition techniques, such as electroplating, or combinations thereof, with copper, for example, deposited by an electroplating technique. Optionally, a seed layer (not shown) of a conductive material may be deposited before the conductive material 230 to nucleate and enhance the subsequent deposition of the conductive material 230.
[0067] Following deposition of the material in the aperture 240, the filled aperture may be further processed by planarizing the substrate surface and a top portion of the aperture to form feature 250, such as by chemical mechanical polishing (CMP). During the planarization process, portions of the one or more dielectric materials 210, the barrier layer 220, and the conductive material 230 are removed from the top of the structure leaving a planar surface having exposed conductive material 245 of the feature 250 in the dielectric materials 210 as shown in Figure 2A. [0068] The substrate is then rinsed or cleaned. One rinsing or cleaning process may include exposing to an acidic pre-clean or etching composition to remove at least a portion of a substrate surface as indicated by the dashed line 260 in Figure 2B prior to a rinsing step. The pre-cleaning composition may, for example, include an acidic solution of about 0.5 wt.% of HF acid, which is applied to the substrate surface for between about 30 seconds and about 60 seconds at a composition temperature between about 20°C and about 25°C. The etched substrate is then rinsed with deionized water to remove any pre-cleaning solution from the substrate surface.
[0069] An initiation layer 270 is then deposited on the substrate surface at Step 130. In Figure 2C, the initiation layer 270 is deposited by the electroless deposition of a noble metal on the exposed conductive material of the substrate surface. The initiation layer 270 is selectively formed on the exposed conductive materials 245. The initiation layer may be deposited, for example, by an initiation electroless solution comprising between about 80 ppm and about 300 ppm palladium chloride (PdCI2) and sufficient hydrochloric acid (HCI) to produce a pH of between about 1 and about 3. The acidity of the initiation electroless solution is generally provided in sufficient amounts to be effective in removing or reducing oxides, such as metal oxides including copper oxides, from the metal or dielectric surface of the substrate during the electroless deposition process. The initiation electroless solution is generally applied to the substrate surface for between about 5 seconds and about 60 seconds at a solution temperature between about 20°C and about 25°C, or at conditions sufficient to deposit the initiation layer to a thickness of about 10 A or less.
[0070] Alternatively, a boride layer may be formed by exposing the barrier layer to a composition including a borane-containing reducing agent, for example, about 4 g/L of dimethylamine borane (DMAB) and sufficient sodium hydroxide to provide a pH of about 9 for the composition, which is applied to the substrate surface for about 30 seconds at a composition temperature of about 25°C. The substrate surface is then rinsed with deionized water to remove any remaining electroless solution or borane- containing composition.
[0071] A passivation layer 280 of a metal, such as cobalt or cobalt alloy, is then deposited on the initiation layer 270 as shown in Figure 2D. The passivation layer is deposited from an electroless technique using an electroless solution containing a metal salt and a reducing agent. For example, a passivation electroless solution of between about 2.5 g/L and about 20 g/L, of cobalt chloride and cobalt sulfate, and between about 15 g/L and about 30 g/L, of sodium hypophosphite, and sufficient base to provide a pH level of between about 9 and about 11 , may be used to form the passivation layer. Dimethylamine borane may be used as the reducing agent at a concentration between about 0.25 g/L and about 6 g/L. The passivation electroless solution is generally applied to the substrate surface for between about 5 seconds and about 120 seconds at a solution temperature between about 20°C and about 25°C.
[0072] The substrate surface is then cleaned using a cleaning composition comprising HCI at a pH between about 1 and about 3 for between about 5 seconds and about 300 seconds at a solution temperature between about 15°C and about 80°C. Ultrasonic energy is applied to the cleaning composition and/or substrate to improve the cleaning process. The cleaning composition is generally applied under conditions sufficient to remove about 20 A or less of the passivation layer. Barrier/Seed Layer Deposition
[0073] In one aspect, a seed layer or barrier layer by an electroless deposition processes described herein in a metallization process.
[0074] While the following description is for the deposition of a seed layer by the processes described herein, the invention contemplates depositing a barrier layer by the electroless process described herein by exposing a dielectric surface of the substrate directly to a composition for forming an initiation layer. The initiation layer will form on the dielectric surface and allow for the deposition of the metal layer, such as cobalt, thereon. The initiation layer may form continuously or non- continuously over the exposed dielectric surface. For example, palladium can be deposited on the dielectric material for a cobalt barrier deposition. If cobalt is used a barrier layer material, the seed layer may be a copper material. [0075] In one aspect, a seed layer is deposited by the electroless process described herein in a metallization scheme as shown in Figures 3A-3D. In Figure 3A, a substrate 300 is provided having an aperture 320 formed in one or more dielectric materials 310. The aperture 320 is formed by depositing and patterning a photoresist material by conventional photolithographic and etching techniques to define a feature opening in one or more dielectric materials 310 and then etching the dielectric materials 310 to define the aperture 320.
[0076] The one or more dielectric materials 310 include, for example, silicon dioxide, phosphorus-doped silicon glass (PSG), boron-phosphorus-doped silicon glass (BPSG), silicon carbide, carbon-doped silicon dioxide, as well as low dielectric constant materials, including fluoro-silicon glass (FSG), polymers, such as polymides, and carbon-containing silicon oxides, such as Black Diamond™, available from Applied Materials, Inc. of Santa Clara, California. The invention also contemplates that layer 310 may include semi-conductive silicon-containing materials including polysilicon, doped polysilicon, or combinations thereof, deposited by methods known or unknown in the art.
[0077] A barrier layer 330 is deposited in the aperture 320 and over the dielectric material forming the substrate surface as shown in Figure 3B. The barrier layer 330 may be deposited to prevent or inhibit diffusion of subsequently deposited materials over the barrier layer 330 into the underlying substrate or dielectric layers. Suitable barrier layer materials include refractory metals and refractory metal nitrides such as tantalum (Ta), tantalum nitride (TaNx), titanium (Ti), titanium nitride (TiNx), tungsten (W), tungsten nitride (WNx), cobalt, cobalt alloys such as cobalt-tungsten alloy, cobalt-phosphorus alloy, cobalt-tin alloys, cobalt-tungsten-phosphorus, cobalt- tungsten-boron, and combinations thereof. The barrier layer 330 may be deposited by chemical vapor deposition (CVD), physical vapor deposition (PVD), electroless deposition techniques, or molecular beam epitaxy among others. The barrier layer 330 may also be a multi-layered film deposited individually or sequentially by the same or by a combination of techniques, such as a tantalum nitride layer deposited on a tantalum layer, both layers deposited by a physical vapor deposition technique. [0078] A seed layer 340 of a metal layer is deposited over the barrier layer 330 by an electroless deposition process as shown in Figure 3C. Suitable seed layer materials include cobalt, cobalt alloys such as cobalt-tungsten alloy, cobalt- phosphorus alloy, cobalt-tin alloys, cobalt-tungsten-phosphorus, cobalt-tungsten- boron, and combinations thereof. The seed layer may be deposited by first forming or depositing an initiation layer and then the bulk of the seed layer material. [0079] For example, the initiation layer may be a noble metal deposited by an initiation electroless solution comprising between about 80 ppm and about 300 ppm palladium chloride (PdCI2) and sufficient hydrochloric acid (HCI) to produce a pH of between about 1 and about 3. The initiation electroless solution is generally applied to the substrate surface for between about 5 seconds and about 60 seconds at a solution temperature between about 20°C and about 25°C, or at conditions sufficient to deposit the initiation layer to a thickness of about 10 A or less. [0080] Alternatively, a boride layer may be formed by exposing the barrier layer to a composition including a borane-containing reducing agent, for example, about 4 g/L of dimethylamine borane (DMAB) and sufficient sodium hydroxide to provide a pH of about 9 for the composition, which is applied to the substrate surface for about 30 seconds at a composition temperature of about 25°C. The substrate surface is then rinsed with deionized water to remove any remaining electroless solution or borane- containing composition.
[0081] Then the bulk of the seed layer material, such as cobalt or cobalt alloy, is deposited on the initiation layer. The bulk of the seed layer material is deposited from an electroless technique using an electroless solution containing a metal salt and a reducing agent. For example, an electroless solution of between about 2.5 g/L and about 20 g/L, of cobalt chloride and/or cobalt sulfate, and between about 15 g/L and about 30 g/L, of sodium hypophosphite, and sufficient base to provide a pH level of between about 9 and about 11 , may be used. Dimethylamine borane may be used as the reducing agent at a concentration between about 0.25 g/L and about 6 g/L. The electroless solution is generally applied to the substrate surface for between about 5 seconds and about 120 seconds at a solution temperature between about 20°C and about 25°C. [0082] The substrate surface is then cleaned using a cleaning composition comprising HCI at a pH between about 1 and about 3 for between about 5 seconds and about 300 seconds at a solution temperature between about 15°C and about 80°C. Ultrasonic energy is applied to the cleaning composition and/or substrate to improve the cleaning process. The cleaning composition is generally applied under conditions sufficient to remove about 20 A or less of the seed layer 340. [0083] The aperture is then filled by the deposition of a conductive material 350 into the feature. Conductive materials 350 may include, for example, copper or tungsten. The conductive material 350, may be deposited by chemical vapor deposition (CVD), physical vapor deposition (PVD), electrochemical deposition techniques, such as electroplating, or combinations thereof, with copper, for example, deposited by an electroplating technique. An example of a conductive fill of tungsten on a cobalt barrier or seed layer is more fully described in U. S. Patent Application Serial No. 10/044,412, filed on 1/09/2002, entitled, "Barrier Formation Using A Novel Sputter Deposition Method", which is incorporated by reference herein to the extent not inconsistent with the disclosure or claims herein. [0084] Following deposition of the material in the aperture, the filled aperture may be further processed by annealing or planarizing the top portion of the aperture to form a feature, such as by chemical mechanical polishing (CMP). During the planarization process, portions of the one or more dielectric materials 310, the barrier layer 330, the seed layer 340, and the conductive material 350 are removed from the top of the structure leaving a fully planar surface leaving exposed conductive material 350 in the dielectric materials 310. Suicide Layer Formation
[0085] A metal suicide layer may be formed by depositing a metal on a silicon- containing material and annealing the metal and silicon-containing material to form a metal suicide layer. Metal suicide is broadly defined herein as an alloy of metal and silicon, which may exist in multiple valence phases. For example cobalt and silicon can exist in the CoSi and CoSi2 phases. The annealing process to form the metal suicide layer may be performed in one or more annealing steps and may be performed concurrently with further deposition processes.
[0086] While the following material describes the formation of a metal suicide layer from a cobalt or cobalt alloy layer, the invention contemplates the use of other materials, including nickel, tin, titanium, tantalum, tungsten, molybdenum, platinum, iron, niobium, palladium, platinum, and combinations thereof, and other alloys including nickel cobalt alloys, cobalt tungsten alloys, cobalt nickel tungsten alloys, doped cobalt and nickel alloys, or nickel iron alloys, to form the metal suicide material as described herein.
[0087] One example of a metal suicide application includes the formation of a MOS device shown in Figure 4. In the illustrated MOS structure, conductive N+ source and drain regions 402 and 404 are formed in a P type silicon substrate 400 adjacent field oxide portions 406. A gate oxide layer 408 and a polysilicon gate electrode 410 are formed over silicon substrate 400 in between source and drain regions 402 and 404 with oxide spacers 412 formed on the sidewalls of polysilicon gate electrode 410.
[0088] A cobalt layer is deposited over the MOS structure, and in particular over the exposed silicon surfaces of the conductive source and drain regions 402 and 404, and the exposed top surface of polysilicon gate electrode 410 by the process described herein.
[0089] In one aspect, the cobalt layer may be deposited by the processes described herein. For example, an initiation layer is first deposited over the substrate surface and in particular over the exposed silicon surfaces of the conductive source and drain regions 402 and 404. The initiation layer (not shown) may include a noble metal, of which noble metals that form suicides are typically used. The initiation layer is deposited by an initiation electroless solution comprising between about 80 ppm and about 300 ppm palladium chloride (PdCI2) and sufficient hydrochloric acid (HCI) to produce a pH of between about 1 and about 3. The initiation electroless solution is generally applied to the substrate surface for between about 5 seconds and about 60 seconds at a solution temperature between about 20°C and about 25°C, or at conditions sufficient to deposit the initiation layer to a thickness of about 10 A or less.
[0090] Alternatively, a boride layer may be formed by exposing the silicon-based materials to a composition including a borane-containing reducing agent, for example, about 4 g/L of dimethylamine borane (DMAB) and sufficient sodium hydroxide to provide a pH of about 9 for the composition, which is applied to the substrate surface for about 30 seconds at a composition temperature of about 25°C. The substrate surface is then rinsed with deionized water to remove any remaining electroless solution or borane-containing composition. [0091] A metal layer of cobalt or cobalt alloy is then deposited on the initiation layer. The cobalt layer is deposited from an electroless technique using an electroless solution containing a cobalt salt and a reducing agent. For example, an electroless solution of between about 2.5 g/L and about 20 g/L, of cobalt chloride and/or cobalt sulfate, and between about 15 g/L and about 30 g/L, of sodium hypophosphite, and sufficient base to provide a pH level of between about 9 and about 11 , may be used. Dimethylamine borane may be used as the reducing agent at a concentration between about 0.25 g/L and about 6 g/L. The electroless solution is generally applied to the substrate surface for between about 5 seconds and about 120 seconds at a solution temperature between about 20°C and about 25°C. The substrate surface may then be cleaned prior to subsequent processing [0092] The cobalt material is deposited to a thickness of about 1000 A or less for the subsequent reaction with the underlying silicon at 402 and 404. For example, cobalt may be deposited to a thickness between about 50 A and about 500 A on the silicon material.
[0093] In one aspect, the cobalt layer is then annealed by a two-step annealing process to form cobalt suicide. For example, a two step annealing process is used to convert the metal layer to a first phase of metal suicide, such as partially or completely converting cobalt and silicon to a first cobalt suicide (CoSi) phase, in a first annealing process; and substantially converted the metal layer to the desired suicide phase, such as such as converting the first cobalt suicide (CoSi) phase to a cobalt suicide (CoSi2) product, in a second annealing step.
[0094] The one or more annealing steps are generally performed at an annealing temperature between about 300°C and about 900°C and may be for a time between about 10 seconds and about 600 seconds each. For example, the substrate may be heated to a temperature between about 400°C and about 600°C for between about 5 seconds and about 300 seconds, such as about 500°C for between about 60 seconds and about 120 seconds, and then heated to a temperature between about 600°C and about 900°C for a period of time between about 5 seconds and about 300 seconds to form the metal suicide layer, such as at 800°C for between about 60 seconds and 120 seconds.
[0095] The first annealing step may be performed immediately after deposition of the cobalt layer. The second annealing step may be performed before, after, or during deposition of subsequent materials, such as during a chemical vapor deposition of a tungsten fill layer. The second annealing process generally has a higher annealing temperature than the first annealing process.
[0096] Two step annealing process for forming metal suicides are more fully described in U. S. Patent Application Serial No. 09/916,234, filed on 7/25/2001, entitled, "In-Situ Annealing Process In Physical Vapor Deposition System", and U. S. Patent Application Serial No. 10/044,412, filed on 1/09/2002, entitled, "Barrier Formation Using A Novel Sputter Deposition Method", which are incorporated by reference herein to the extent not inconsistent with the disclosure or claims herein. [0097] Dielectric materials 422 may be deposited over the formed structure and etched to provide contact definitions 420 in the device. The contact definitions may then be filled with a contact material, such as tungsten, aluminum, or copper, from chemical vapor deposition techniques, such as described herein. [0098] In one aspect, any unreacted cobalt from the annealing processes may be removed from the substrate surface, typically by a wet etch process or plasma etch process, and the cobalt suicide remains as cobalt suicide (CoSi2) portions 414, 416, and 418 of uniform thickness respectively formed over polysilicon gate electrode 410 and over source and drain regions 402 and 404 in silicon substrate 400. Unreacted cobalt may be removed by a plasma process in a DPS™ chamber located on the same vacuum processing system, or may be transferred to another processing system for processing. Wet etch process are typically performed in a second processing system.
[0099] A selective etch of the unreacted metal layer from the metal suicide layer may be performed concurrently or after annealing. Additional deposition of materials, such as a layer of barrier material or the second metal layer, may be performed concurrently or after annealing.
[00100] While not shown, a barrier or liner layer of a material, such as titanium nitride, may be deposited on the cobalt material to further enhance the barrier properties of the cobalt layer. The deposition of the titanium nitride layer may replace the step of removing unreacted cobalt as described above. However, the unreacted cobalt and titanium may be removed by the etch process after annealing of the substrate surface according to the anneal processes described herein. [θθioi]While the foregoing is directed to embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims

What is Claimed:
1. A method of processing a substrate, comprising: depositing an initiation layer on a substrate surface by exposing the substrate surface to a first electroless solution having a pH of about 7 or less; cleaning the substrate surface of the first electroless solution; and depositing a second conductive material on the initiation layer by exposing the initiation layer to a second electroless solution.
2. The method of claim 1 , wherein the initiation layer is continuous or non- continuous.
3. The method of claim 1 , further comprising: polishing a substrate surface to expose a first conductive material disposed in a dielectric material prior to depositing an initiation layer; and removing oxides from the substrate surface prior to cleaning the substrate surface.
4. The method of claim 1 , wherein the first electroless solution comprises a noble metal salt and an inorganic acid, wherein the noble metal salt comprises a salt of palladium, platinum, or combinations thereof, and is selected from the group of a chloride salt, a sulfate salt, sulfamate salt, or combinations thereof, and the inorganic acid is selected from the group of hydrochloric acid, sulfuric acid, hydrofluoric acid, or combinations thereof.
5. The method of claim 4, wherein the noble metal salt has a concentration of between about 20 parts per million and about 20 grams per liter of the electroless solution.
6. The method of claim 4, wherein the first electroless solution has a pH between about 1 and about 3.
7. The method of claim 1 , wherein the first conductive material is copper, the initiation layer comprises a noble metal selected from the group of palladium, platinum, and combinations thereof, and the second conductive material comprises cobalt or a cobalt alloy, wherein the noble metal is selectively deposited on the exposed copper features by exposing the substrate surface to an acidic electroless solution containing a noble metal salt, an inorganic acid, and having a pH between about 1 and about 3;
8. The method of claim 1, wherein the substrate surface comprises a dielectric material and apertures formed therein.
9. The method of claim 8, further comprising: depositing a seed layer on the second conductive material; and depositing a third conductive material layer on the seed layer.
10. The method of claim 8, further comprising depositing a third conductive material layer on the second conductive material.
11. The method of claim 1 , wherein the substrate surface comprises a conductive silicon-based material disposed thereon with patterned apertures formed therein.
12. The method of claim 11 , further comprising forming a metal suicide layer by reacting the conductive silicon-based material and the second conductive material using one or more annealing processes.
13. The method of claim 12, further comprising depositing a third conductive material on the metal suicide layer.
14. The method of claim 12, wherein the one or more annealing processes comprise annealing the substrate at a temperature between about 300°C and about 900°C to form the metal suicide layer.
15. The method of claim 12, further comprising etching unreacted second conductive material after any of the one or more annealing steps.
16. The method of claim 12, wherein a layer of barrier material is deposited on the second conductive material prior to depositing the third conductive material.
17. The method of claim 12, further comprising treating the substrate surface to remove oxide formation by a hydrofluoric dipping technique or a plasma etch technique.
18. The method of claim 1 , further comprising: polishing a substrate surface to expose a first conductive material disposed in a dielectric material; etching the substrate surface with an acidic solution; and cleaning the substrate surface of the acidic solution prior to depositing the initiation layer.
19. The method of claim 18, wherein the acidic solution comprises between about 0.2 wt.% and about 5 wt.% of hydrofluoric acid.
20. The method of claim 18, wherein the etching the substrate surface comprises exposing the substrate surface to an acidic solution comprising between about 0.2 wt.% and about 5 wt.% of hydrofluoric acid for about 300 seconds or less at a temperature between about 15°C and about 60°C.
21. A method of processing a substrate, comprising: polishing a substrate surface to expose a first conductive material disposed in a dielectric material; exposing the substrate surface to a solution comprising a boron-containing reducing agent to form initiation sites on the exposed first conductive material; and depositing a second conductive material on the initiation sites by exposing the substrate surface to an electroless solution containing a reducing agent.
22. The method of claim 21 , wherein the boron-containing reducing agent comprises an alkali metal borohydride, an alkyl amine borane, or combinations thereof.
23. The method of claim 21 , wherein the solution comprises between about 0.25 g/L and about 6 g/L of the boron-containing reducing agent selected from the group of sodium borohydride, dimethylamine borane, and combinations thereof, and has a pH between about 8 and about 13.
24. The method of claim 21 , wherein the reducing agent comprises a non-boron- containing reducing agent.
25. The method of claim 24, wherein the electroless solution further comprises a metal salt, a surfactant, a stabilizer, a complexing agent, or combinations thereof.
26. The method of claim 24, wherein the non-boron-containing reducing agent comprises sodium hypophosphite.
27. The method of claim 21 , wherein the first conductive material is selected from the group of copper, copper alloys, cobalt, cobalt alloys, and combinations thereof, and the initiation layer comprises copper boride, cobalt boride, or combinations thereof.
28. The method of claim 21 , further comprising cleaning the substrate surface of the solution comprising the boron-containing reducing agent.
29. A method of processing a substrate having a dielectric material and apertures formed therein, the method comprising: rinsing the substrate surface with a solution comprising a boron-containing reducing agent to form an initiation layer on the dielectric material and apertures formed therein; depositing a first conductive material on the initiation layer by an electroless process containing a reducing agent.
30. The method of claim 29, further comprising: depositing a seed layer on the first conductive material; and depositing a second conductive material layer on the seed layer.
31. The method of claim 29, further comprising depositing a second conductive material layer on the first conductive material.
32. The method of claim 29, wherein the boron-containing reducing agent comprises an alkali metal borohydride, an alkyl amine borane, or combinations thereof.
33. The method of claim 29, wherein the solution comprises between about 0.25 g/L and about 6 g/L of a boron-containing reducing agent selected from the group of sodium borohydride, dimethylamine borane, and combinations thereof, and has a pH between about 8 and about 13.
34. The method of claim 29, wherein the reducing agent comprises a non-boron- containing reducing agent.
35. The method of claim 34, wherein the electroless solution further comprises a metal salt, a surfactant, a stabilizer, a complexing agent, or combinations thereof.
36. The method of claim 34, wherein the non-boron-containing reducing agent comprises sodium hypophosphite.
37. The method of claim 29, wherein the first conductive material is selected from the group of copper, copper alloys, cobalt, cobalt alloys, and combinations thereof, and the initiation layer comprises copper boride, cobalt boride, or combinations thereof.
38. The method of claim 30, further comprising depositing a barrier layer prior to depositing the first conductive material, the seed layer, or the second conductive material.
39. The method of claim 29, wherein the substrate comprises a conductive silicon-based material disposed thereon with patterned apertures formed therein, and the method further comprises: cleaning the substrate surface of the solution comprising a boron-containing reducing agent; and forming a metal suicide layer by reacting the conductive silicon-based material and the first conductive material using one or more annealing processes.
40. The method of claim 21 , further comprising treating the substrate surface to remove oxide formation by a hydrofluoric dipping technique or a plasma etch technique.
PCT/US2003/010073 2002-04-03 2003-04-02 Electroless deposition methods WO2003085166A2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2003582335A JP2005536628A (en) 2002-04-03 2003-04-02 Electroless deposition method

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US10/117,712 US6905622B2 (en) 2002-04-03 2002-04-03 Electroless deposition method
US10/117,712 2002-04-03
US10/117,710 2002-04-03
US10/117,711 2002-04-03
US10/117,710 US20030190426A1 (en) 2002-04-03 2002-04-03 Electroless deposition method
US10/117,711 US6899816B2 (en) 2002-04-03 2002-04-03 Electroless deposition method

Publications (2)

Publication Number Publication Date
WO2003085166A2 true WO2003085166A2 (en) 2003-10-16
WO2003085166A3 WO2003085166A3 (en) 2005-10-06

Family

ID=28794905

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2003/010073 WO2003085166A2 (en) 2002-04-03 2003-04-02 Electroless deposition methods

Country Status (2)

Country Link
JP (1) JP2005536628A (en)
WO (1) WO2003085166A2 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2007003223A1 (en) * 2005-07-04 2007-01-11 Freescale Semiconductor, Inc. Method and apparatus for forming a noble metal layer, notably on inlaid metal features
JP2008517154A (en) * 2004-10-18 2008-05-22 エントン インコーポレイテッド Electroless plating of cobalt and nickel in microelectronics

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4878518B2 (en) * 2006-07-28 2012-02-15 ルネサスエレクトロニクス株式会社 Semiconductor device and manufacturing method thereof
JP2018073949A (en) * 2016-10-27 2018-05-10 東京エレクトロン株式会社 Metal wiring layer formation method, metal wiring layer formation device, and storage medium
JP2018117056A (en) * 2017-01-19 2018-07-26 富士通株式会社 Electronic component, method of manufacturing electronic component, and electronic device

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4150177A (en) * 1976-03-31 1979-04-17 Massachusetts Institute Of Technology Method for selectively nickeling a layer of polymerized polyester resin
US5169680A (en) * 1987-05-07 1992-12-08 Intel Corporation Electroless deposition for IC fabrication
US5380560A (en) * 1992-07-28 1995-01-10 International Business Machines Corporation Palladium sulfate solution for the selective seeding of the metal interconnections on polyimide dielectrics for electroless metal deposition
US6180523B1 (en) * 1998-10-13 2001-01-30 Industrial Technology Research Institute Copper metallization of USLI by electroless process

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS57120663A (en) * 1981-01-16 1982-07-27 Seiko Epson Corp Electroless plating method
US4692349A (en) * 1986-03-03 1987-09-08 American Telephone And Telegraph Company, At&T Bell Laboratories Selective electroless plating of vias in VLSI devices
DE3815569A1 (en) * 1987-05-07 1988-12-29 Intel Corp Method for the selective deposition of a conductive material in the fabrication of integrated circuits
JP3365718B2 (en) * 1996-12-26 2003-01-14 メルテックス株式会社 Catalyst solution for electroless plating
JP4207234B2 (en) * 1997-12-19 2009-01-14 アイシン精機株式会社 Electroless plating method for thermoelectric semiconductor
US6197688B1 (en) * 1998-02-12 2001-03-06 Motorola Inc. Interconnect structure in a semiconductor device and method of formation
US6165912A (en) * 1998-09-17 2000-12-26 Cfmt, Inc. Electroless metal deposition of electronic components in an enclosable vessel
JP3217319B2 (en) * 1998-12-11 2001-10-09 松下電器産業株式会社 Method for manufacturing semiconductor device
JP2001131761A (en) * 1999-11-02 2001-05-15 Murata Mfg Co Ltd Electroless copper plating bath, method of electroless copper plating and electronic parts
JP2001144089A (en) * 1999-11-11 2001-05-25 Sony Corp Method of manufacturing semiconductor device
JP2001196327A (en) * 2000-01-06 2001-07-19 Matsushita Electric Ind Co Ltd Semiconductor device and method of manufacturing the same
JP4055319B2 (en) * 2000-02-18 2008-03-05 ソニー株式会社 Manufacturing method of semiconductor device
JP2001355074A (en) * 2000-04-10 2001-12-25 Sony Corp Electroless plating method, and apparatus thereof

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4150177A (en) * 1976-03-31 1979-04-17 Massachusetts Institute Of Technology Method for selectively nickeling a layer of polymerized polyester resin
US5169680A (en) * 1987-05-07 1992-12-08 Intel Corporation Electroless deposition for IC fabrication
US5380560A (en) * 1992-07-28 1995-01-10 International Business Machines Corporation Palladium sulfate solution for the selective seeding of the metal interconnections on polyimide dielectrics for electroless metal deposition
US6180523B1 (en) * 1998-10-13 2001-01-30 Industrial Technology Research Institute Copper metallization of USLI by electroless process

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008517154A (en) * 2004-10-18 2008-05-22 エントン インコーポレイテッド Electroless plating of cobalt and nickel in microelectronics
WO2007003223A1 (en) * 2005-07-04 2007-01-11 Freescale Semiconductor, Inc. Method and apparatus for forming a noble metal layer, notably on inlaid metal features
US7935631B2 (en) 2005-07-04 2011-05-03 Freescale Semiconductor, Inc. Method of forming a continuous layer of a first metal selectively on a second metal and an integrated circuit formed from the method
TWI401789B (en) * 2005-07-04 2013-07-11 Freescale Semiconductor Inc Method and apparatus for forming a noble metal layer, notably on inlaid metal features

Also Published As

Publication number Publication date
WO2003085166A3 (en) 2005-10-06
JP2005536628A (en) 2005-12-02

Similar Documents

Publication Publication Date Title
US6899816B2 (en) Electroless deposition method
US6905622B2 (en) Electroless deposition method
US20030190426A1 (en) Electroless deposition method
US6821909B2 (en) Post rinse to improve selective deposition of electroless cobalt on copper for ULSI application
US7205228B2 (en) Selective metal encapsulation schemes
Shacham-Diamand et al. Electroless copper deposition for ULSI
US6958547B2 (en) Interconnect structures containing conductive electrolessly deposited etch stop layers, liner layers, and via plugs
US20050085031A1 (en) Heterogeneous activation layers formed by ionic and electroless reactions used for IC interconnect capping layers
EP1346408B1 (en) Method of electroless introduction of interconnect structures
US6436816B1 (en) Method of electroless plating copper on nitride barrier
US7622382B2 (en) Filling narrow and high aspect ratio openings with electroless deposition
US20070071888A1 (en) Method and apparatus for forming device features in an integrated electroless deposition system
US20120315756A1 (en) Process for electroless copper deposition on a ruthenium seed
WO2002045155A2 (en) ELECTROLESS METHOD OF SEED LAYER DEPOSITION, REPAIR, AND FABRICATION OF Cu INTERCONNECTS
US20050161338A1 (en) Electroless cobalt alloy deposition process
US6585811B2 (en) Method for depositing copper or a copper alloy
US7064065B2 (en) Silver under-layers for electroless cobalt alloys
US20050029662A1 (en) Semiconductor production method
US20050170650A1 (en) Electroless palladium nitrate activation prior to cobalt-alloy deposition
KR100859259B1 (en) Cobalt-base alloy electroless-plating solution and electroless-plating by using the same
WO2003085166A2 (en) Electroless deposition methods
WO2003034481A1 (en) Selective tungsten stud as copper diffusion barrier to silicon contact
WO2006102182A2 (en) Process for electroless copper deposition
TWI283272B (en) Method of processing a substrate
EP1022355B1 (en) Deposition of copper on an activated surface of a substrate

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): CN JP KR SG

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PT RO SE SI SK TR

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
WWE Wipo information: entry into national phase

Ref document number: 2003582335

Country of ref document: JP

WWE Wipo information: entry into national phase

Ref document number: 2003810296X

Country of ref document: CN

122 Ep: pct application non-entry in european phase