CN1783476A - 集成电路的内连线结构 - Google Patents

集成电路的内连线结构 Download PDF

Info

Publication number
CN1783476A
CN1783476A CNA2005100695497A CN200510069549A CN1783476A CN 1783476 A CN1783476 A CN 1783476A CN A2005100695497 A CNA2005100695497 A CN A2005100695497A CN 200510069549 A CN200510069549 A CN 200510069549A CN 1783476 A CN1783476 A CN 1783476A
Authority
CN
China
Prior art keywords
layer
integrated circuit
conductive
internal connection
wire structure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2005100695497A
Other languages
English (en)
Other versions
CN100424867C (zh
Inventor
黄瑞仁
蔡明兴
眭晓林
苏鸿文
柯亭竹
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN1783476A publication Critical patent/CN1783476A/zh
Application granted granted Critical
Publication of CN100424867C publication Critical patent/CN100424867C/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本发明涉及一种集成电路的内连线结构,包括:在一半导体基板上方形成第一导线,然后在此第一导线上方形成一导电覆盖层以改善元件的可靠度。之后,在此导电覆盖层上方形成一蚀刻停止层,并在此蚀刻停止层上方形成一金属层间介电层。接着,在上述蚀刻停止层、金属层间介电层、以及导电覆盖层内形成一介层窗开口与一沟渠。然后,在此第一导线内形成一凹部。此凹部可以在蚀刻上述介电层时,借由过度蚀刻的方式而形成;此凹部也可以利用另外的方法而形成,例如进行氩离子溅射蚀刻。之后,将此沟渠、开口以及凹部填满而形成第二导线。本发明降低了因第二导线透过导电覆盖层与第一导线耦接而发生的高接触电阻及RC延迟的问题。

Description

集成电路的内连线结构
技术领域
本发明是有关于一种集成电路的金属化制程,且特别有关于一种镶嵌制程。
背景技术
传统的集成电路包含多个被内配线间距分隔的金属线路的图案、以及多个内连线。上述内连线例如是汇流线、位元线、字符线、或逻辑内连线。通常,垂直配置的金属化层的金属图案彼此之间借着介层窗而相互导通。形成于类沟渠的开口内的金属线通常在平行于半导体基板的方向上延伸。根据现有技术,此类的半导体元件可能包含八层或八层以上的金属化层,以满足元件的几何形状与微小化的要求。
通常形成金属线路或插塞的方法即是利用大家所熟知的镶嵌法。一般而言,此方法包括:首先,在介电层内形成一个开口,其中上述介电层将垂直配置的金属化层分开,且上述开口通常是利用传统的微影蚀刻技术形成。接着,在上述开口内填满铜或铜合金,以形成一个介层窗。然后,上述介电层表面的多余的金属材料则利用化学机械研磨法加以移除。
铜因为具有较铝低的电阻率,而且铜具有较铝高的扩散活化能,所以铜的可靠度较好,因此铜已经取代了铝。然而,当半导体元件的几何形状持续缩小以及电流密度增加的情况下,铜仍然会遭遇电子迁移效应与应力迁移效应等影响元件可靠度的问题。
图1是绘示根据镶嵌制程的不具有导电覆盖层(cap layer)的内连线结构1的剖面图。形成于一半导体基板6内的金属线2与上方的金属线4通常由铜或铜合金形成,且金属线2与4借由介层窗10而相互导通。金属层间介电层8分隔金属线2与4所在的两导电层。蚀刻停止层5是形成于金属线2上。扩散阻障层12与14用于阻止铜扩散进入周围的材料。上述内连线结构1遭遇电子迁移效应与应力迁移效应等影响元件可靠度的问题。因为金属线2与蚀刻停止层5直接接触,而金属线2与蚀刻停止层5之间特性上的差异引起更严重的电子迁移效应与应力迁移效应的问题,因此元件可靠度降低。
图2是绘示根据现有技术的具有导电覆盖层16形成于金属线2上的内连线结构15的剖面图。此导电覆盖层16通常使用较不易引起电子迁移效应的材料。此导电覆盖层16借由移除金属线2与蚀刻停止层5之间的接口,而增进内连线结构15的可靠度。因此,金属线2的表面发生电子迁移效应的机会便将低许多。由于电子迁移效应降低的关系,所以在施加电压的情况下,内连线结构15的平均损坏时间(mean time to failure,MTTF)是内连线结构1的平均损坏时间的10倍。另外,有了导电覆盖层16之后,会引起应力的孔洞的生成机会也明显减少许多。
然而,上述导电覆盖层16也会衍生其它问题。例如,为了形成介层窗,必须蚀刻金属层间介电层8以及蚀刻停止层5。通常会利用过度蚀刻的方式蚀穿导电覆盖层16以及蚀刻部分的蚀刻停止层5。在传统的内连线结构制程中,过度蚀刻是在一定的时间内停止,也就是当部分的蚀刻停止层5被移除时。通常,过度蚀刻可能会停在导电覆盖层16或金属线2上。
假如过度蚀刻停在导电覆盖层16上的话,由于导电覆盖层16通常具有较高的电阻,所以残留的导电覆盖层16会增加内连线结构的电阻。而高的电阻则会引起RC延迟的问题。
若是过度蚀刻停在金属线2上的话,由于金属线2是由铜组成,而且又没有残留的导电覆盖层16,所以接触电阻会较低。
综上所述,接触电阻以及RC延迟的问题会随不同的制程而变化,而且不易预测。
因此,为了降低接触电阻、解决RC延迟问题以及简化制程,业界急需一种新的内连线结构的形成方法。
发明内容
为达上述与其它目的,本发明的方法主要是提供一种具有导电覆盖层的内连线结构的形成方法,包括:在一半导体基板上方形成第一导线,然后在此第一导线上方形成一导电覆盖层。之后,在此导电覆盖层上方形成一蚀刻停止层,并在此蚀刻停止层上方形成一金属层间介电层。接着,在上述蚀刻停止层、金属层间介电层、以及导电覆盖层内形成一介层窗开口与一沟渠。然后,在此第一导线内形成一凹部。此凹部可以在蚀刻此第一介电层时,借由过度蚀刻的方式而形成;此凹部也可以利用另外的方法而形成,例如进行氩离子溅射蚀刻。之后,将此沟渠、开口以及凹部填满而形成第二导线。
本发明是这样实现的:
本发明提供一种集成电路的内连线结构,所述集成电路的内连线结构包括:第一导电层,从一个基料(base material)的表面延伸至该基料的内部;一导电覆盖层,置于该第一导电层上方;第一介电层,置于该导电覆盖层与该基料上方;第二介电层,置于该第一介电层上方;一开口,置于该第一介电层、该第二介电层、以及该导电覆盖层内,其中该开口延伸至第一导电层内部并形成一凹部;以及第二导电层,置于该第二介电层上方并填满该开口与该凹部。
本发明所述的集成电路的内连线结构,该第一导电层与该第二导电层的材料是选自大体上由含铜的原子百分比超过10%的合金、含铝、银、金的原子百分比超过50%的合金、以及上述合金的组合所组成的族群。
本发明所述的集成电路的内连线结构,该第一导电层与该第二导电层具有一大体上低于4欧姆-公分的电阻率。
本发明所述的集成电路的内连线结构,该导电覆盖层的材料是选自大体上由钴、镍、钨、钼、钽、硼、磷、以及上述元素的组合所组成的族群。
本发明所述的集成电路的内连线结构,该导电覆盖层的材料是选自大体上由磷化钴、硼化钴、磷钨化钴、硼钨化钴、磷钨化镍、磷锡化钴、硼钨化镍、硅化铜、氮化锆、磷钼化镍、以及上述化合物的组合所组成的族群。
本发明所述的集成电路的内连线结构,该导电覆盖层具有一厚度,该厚度大体上介于2纳米与20纳米之间。
本发明所述的集成电路的内连线结构,该第二介电层的材料是选自大体上由掺杂碳的氧化硅、掺杂氟的氧化硅、有机低介电常数材料、多孔性低介电常数材料、以及上述材料的组合所组成的族群。
本发明所述的集成电路的内连线结构,该凹部具有一深度,该深度大体上介于1纳米与100纳米之间。
本发明所述的集成电路的内连线结构,该凹部具有一深度,该深度大体上介于10纳米与100纳米之间。
本发明另提供一种集成电路的内连线结构,所述集成电路的内连线结构包括:第一导电层,形成在一个基料内部的一个沟渠内;一导电覆盖层,置于该第一导电层上方;第一介电层,置于该导电覆盖层与该基料上方;以及一介层窗,置于该第一介电层以及该导电覆盖层内,其中该介层窗延伸至第一导电层内部。
本发明所述的集成电路的内连线结构,该介层窗延伸至第一导电层内部的深度大体上介于1纳米与100纳米之间。
本发明所述的集成电路的内连线结构,更包括:第二介电层,置于该第一介电层上方;以及第二导电层,置于该第二介电层内并与该介层窗形成电性接触。
由于第一导线上方形成一导电覆盖层,因此内连线结构的可靠度与性能明显地改善许多。本发明降低了因第二导线透过导电覆盖层与第一导线耦接而发生的高接触电阻及RC延迟的问题。
附图说明
图1是绘示根据现有技术的不具有导电覆盖层的内连线结构的剖面图;
图2是绘示根据现有技术的具有导电覆盖层的内连线结构的剖面图;
图3至图10是绘示根据本发明一较佳实施例的具有导电覆盖层的内连线结构的制程剖面图。
具体实施方式
为让本发明的上述和其它目的、特征和优点能更明显易懂,下文特举出较佳实施例,并配合所附图式,作详细说明如下:
图3至图10是绘示根据本发明一较佳实施例的具有导电覆盖层的内连线结构的制程剖面图。其中,形成一个连接两导线的介层窗。
如图3所示,一沟渠26形成于一个基料20内。在一较佳实施例中,基料20是一个金属层间介电层,此金属层间介电层包括一材料,而此材料的介电常数(k值)约低于3.3且包括氮、碳、氢、氧、氟以及上述元素的组合。在另一实施例中,此基料20可以是硅基板或是其它非导电材料。
如图4所示,一扩散阻障层30与一导线32形成于沟渠26内。
在一较佳实施例中,扩散阻障层30由一材料形成,此材料包括钛、氮化钛、钽或氮化钽。
在一较佳实施例中,导线32的材料包括铜或铜合金,此铜合金含有原子百分比至少10%的铜。在此说明书中,导线32亦可指铜导线32。在另一较佳实施例中,导线32的材料包括铝合金,此铝合金含有原子百分比至少50%的铝。在另一较佳实施例中,导线32的材料包括铝、银以及金的合金,此合金含有原子百分比至少50%的铝、银以及金。导线32具有良好的导电率且电阻率约低于4欧姆-公分。
导线32通常借由沉积一层薄的铜晶种层或铜合金而形成,然后镀在沟渠26内并填满沟渠26。接着,利用化学机械研磨法将铜导线32的表面平坦化。
如图5所示,一导电覆盖层33形成于导线32上。在另一较佳实施例中,导电覆盖层33选择性地形成于扩散阻障层30上。
在一较佳实施例中,导电覆盖层33包括钴、镍、钨、钼、钽、硼或磷。上述材料可能包括下列的形式:磷化钴、硼化钴、磷钨化钴、硼钨化钴、磷钨化镍、磷锡化钴、硼钨化镍、硅化铜、氮化锆、磷钼化镍或上述化合物的组合。导电覆盖层33的厚度约介于2纳米与20纳米之间。
相较一介电材料而言,导电覆盖层33的特性与导线32的特性较兼容,所以电子迁移效应与应力迁移效应(stress migration)得以降低,元件的可靠度因此提高。
在一较佳实施例中,导线32的材料包括铜以及铜合金,所以导电覆盖层33可以形成一硅化铜。上述导电覆盖层33的形成方法包括化学气相反应法(chemical vapor reaction process),此方法是于一反应室内导入硅烷(SiH4)的化学蒸气,在约200℃至420℃的温度下与铜反应。在另一较佳实施例中,可以利用无电镀法形成导电覆盖层33。在另一较佳实施例中,可以利用一般的技术沉积导电覆盖层33,例如溅镀法与化学气相沉积法。然后,再对导电覆盖层33进行蚀刻制程。导电覆盖层33的较佳厚度约介于2纳米至20纳米,而最佳厚度约为10纳米。
在一较佳实施例中,在导线32与导电覆盖层33形成之后,接着进行一双镶嵌制程以形成一介层窗与第二铜导线。在其它的实施例中,上述介层窗与第二铜导线是借由单镶嵌制程而形成。如图6所示,一介层窗的蚀刻停止层34形成于导电覆盖层33与基料20之上。上述介层窗的蚀刻停止层34是一介电材料,此介电材料包括碳、硅、氮或氧,而且此介电材料的介电常数大约低于5。上述介层窗的蚀刻停止层34的厚度大约小于80纳米。
接着,一介层窗的金属层间介电层36形成于上述介层窗的蚀刻停止层34上方,用以提供一层介于导线32(铜导线)与随后形成的第二铜导线之间的绝缘层。然后,一氮化硅层38形成于金属层间介电层36上。
在一较佳实施例中,介层窗的金属层间介电层36包括掺杂碳的氧化硅、掺杂氟的氧化硅、有机低介电常数材料、多孔性低介电常数材料,并且具有大约低于3.4的介电常数。介层窗的金属层间介电层36的形成方法包括旋转涂布、化学气相沉积法或其它沉积方法。
然后,如图6所示,一沟渠的金属层间介电层40形成于介层窗的金属层间介电层36上方。沟渠的金属层间介电层40的形成方法与介层窗的金属层间介电层36的形成方法相似。而且,介层窗的蚀刻停止层34、介层窗的金属层间介电层36、沟渠的金属层间介电层40是采用该技术领域中常用的材料。接着,一氮化硅层42形成于沟渠的金属层间介电层40上。
之后,形成一直角形状(图未显示)或上宽下窄形状的介层窗开口44,如图7所示。首先,一光致抗蚀剂材料(未显示)形成于沟渠的金属层间介电层40上方,且在此光致抗蚀剂材料上定义图案。
接着,使用一含氟的蚀刻气体进行一非等向性蚀刻制程,以蚀穿沟渠的金属层间介电层40、介层窗的金属层间介电层36,并且停在介层窗的蚀刻停止层34上,因此而形成一介层窗开口44。此介层窗开口44防止下方的导线32被蚀刻。此介层窗开口44的长度(未显示)与宽度W约小于500纳米。
然后,形成一沟渠开口46,如图8所示。其中,进行一非等向性蚀刻制程,以蚀穿沟渠的金属层间介电层40而形成此沟渠开口46,并曝露出介层窗的蚀刻停止层38的部分表面。接着,此沟渠开口46将被用于形成第二导线,也就是说在此沟渠开口46内填满导电材料。
在一较佳实施例中,随后进行一蚀刻制程以蚀刻介层窗的蚀刻停止层34的部分曝露表面。上述蚀刻制程所使用的蚀刻药剂包括四氟化碳、八氟化四碳或氧气。图9是绘示介层窗的蚀刻停止层34被蚀刻之后的结构。由于介层窗的蚀刻停止层34相对于介层窗的金属层间介电层36与沟渠的金属层间介电层40而言是非常薄的,因此制程控制与蚀刻终点的侦测皆在严密的掌控之下,所以也降低了下方的导线32发生过度蚀刻的可能性。当介层窗的蚀刻停止层34被蚀穿之后,导电覆盖层33接着被蚀刻。
在另一较佳实施例中,导电覆盖层33被蚀穿,而且导电覆盖层33下方的导线32也被过度蚀刻,而在导线32内形成一凹部48。
在另一较佳实施例中,当蚀刻至导电覆盖层33或导线32时,可以进行溅射蚀刻以移除剩余的导电覆盖层33以及部分的导线32,进而形成一凹部48。上述溅射蚀刻通常使用惰性物质,例如氩气或氦气。在一较佳实施例中,凹部48具有一深度D,此深度D约介于1纳米至100纳米之间,更佳的深度约介于10纳米至100纳米之间。
如图10所示,于介层窗开口44、沟渠开口46、凹部48内形成第二导线50与介层窗52,其中介层窗开口44、沟渠开口46被填满导电材料。在一较佳实施例中,第二导线50与介层窗52是由铜或铜合金形成。相似于导线32,第二导线50与介层窗52的材料包括含铜的原子百分比至少超过10%的合金。在一较佳实施例中,第二导线50的材料包括含铝的原子百分比至少超过50%的合金、银或金。第二导线50与介层窗52的电阻率约低于4欧姆-公分。
接着,进行一化学机械研磨制程,将第二导线50的表面平坦化。另外,在形成第二导线50之前,可以先形成一阻障层(未显示)。在一较佳实施例中,上述阻障层的材料包括钛、氮化钛、钽、或氮化钽。上述阻障层的厚度约介于2纳米至40纳米之间。
在另一较佳实施例中,于图8的结构形成之后,在介层窗开口44与沟渠开口46的侧壁、以及介层窗的蚀刻停止层34的部分曝露表面上形成一阻障层。接着,进行一非等向蚀刻制程或进行溅射蚀刻,以移除介层窗开口44底部的材料、阻障层、介层窗的蚀刻停止层34、以及导电覆盖层33,于是形成一凹部48。在上述过程中,位于介层窗52与导线32之间的阻障层也被移除。因此,铜与铜之间透过直接接触而形成更好的导电效果。
在一较佳实施例中,在导线32内形成此凹部48可以确保在介层窗开口44的曝露部分没有残留导电覆盖层33。因此,接触电阻与RC延迟得以降低。而且更容易预测接触电阻,另外也可以减少制程的变量。
以上所述仅为本发明较佳实施例,然其并非用以限定本发明的范围,任何熟悉本项技术的人员,在不脱离本发明的精神和范围内,可在此基础上做进一步的改进和变化,因此本发明的保护范围当以本申请的权利要求书所界定的范围为准。
附图中符号的简单说明如下:
1:内连线结构
2:金属线
4:金属线
5:蚀刻停止层
6:半导体基板
8:金属层间介电层
10:介层窗
12:扩散阻障层
14:扩散阻障层
15:内连线结构
16:导电覆盖层
20:基料
26:沟渠
30:扩散阻障层
32:导线
33:导电覆盖层
34:介层窗的蚀刻停止层
36:介层窗的金属层间介电层
38:氮化硅层
40:沟渠的金属层间介电层
42:氮化硅层
44:介层窗开口
46:沟渠开口
48:凹部
50:第二导线
52:介层窗
D:深度
W:宽度

Claims (12)

1、一种集成电路的内连线结构,所述集成电路的内连线结构包括:
第一导电层,从一个基料的表面延伸至该基料的内部;
一导电覆盖层,置于该第一导电层上方;
第一介电层,置于该导电覆盖层与该基料上方;
第二介电层,置于该第一介电层上方;
一开口,置于该第一介电层、该第二介电层、以及该导电覆盖层内,其中该开口延伸至第一导电层内部并形成一凹部;以及
第二导电层,置于该第二介电层上方并填满该开口与该凹部。
2、根据权利要求1所述的集成电路的内连线结构,其特征在于:该第一导电层与该第二导电层的材料是选自由含铜的原子百分比超过10%的合金、含铝、银、金的原子百分比超过50%的合金、以及上述合金的组合所组成的族群。
3、根据权利要求2所述的集成电路的内连线结构,其特征在于:该第一导电层与该第二导电层具有一低于4欧姆-公分的电阻率。
4、根据权利要求1所述的集成电路的内连线结构,其特征在于:该导电覆盖层的材料是选自由钴、镍、钨、钼、钽、硼、磷、以及上述元素的组合所组成的族群。
5、根据权利要求1所述的集成电路的内连线结构,其特征在于:该导电覆盖层的材料是选自由磷化钴、硼化钴、磷钨化钴、硼钨化钴、磷钨化镍、磷锡化钴、硼钨化镍、硅化铜、氮化锆、磷钼化镍、以及上述化合物的组合所组成的族群。
6、根据权利要求1所述的集成电路的内连线结构,其特征在于:该导电覆盖层具有一厚度,该厚度介于2纳米与20纳米之间。
7、根据权利要求1所述的集成电路的内连线结构,其特征在于:该第二介电层的材料是选自由掺杂碳的氧化硅、掺杂氟的氧化硅、有机低介电常数材料、多孔性低介电常数材料、以及上述材料的组合所组成的族群。
8、根据权利要求1所述的集成电路的内连线结构,其特征在于:该凹部具有一深度,该深度介于1纳米与100纳米之间。
9、根据权利要求1所述的集成电路的内连线结构,其特征在于:该凹部具有一深度,该深度介于10纳米与100纳米之间。
10、一种集成电路的内连线结构,所述集成电路的内连线结构包括:
第一导电层,形成在一个基料内部的一个沟渠内;
一导电覆盖层,置于该第一导电层上方;
第一介电层,置于该导电覆盖层与该基料上方;以及
一介层窗,置于该第一介电层以及该导电覆盖层内,其中该介层窗延伸至第一导电层内部。
11、根据权利要求10所述的集成电路的内连线结构,其特征在于:该介层窗延伸至第一导电层内部的深度介于1纳米与100纳米之间。
12、根据权利要求10所述的集成电路的内连线结构,其特征在于更包括:
第二介电层,置于该第一介电层上方;以及
第二导电层,置于该第二介电层内并与该介层窗形成电性接触。
CNB2005100695497A 2004-12-03 2005-05-13 集成电路的内连线结构 Active CN100424867C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/004,767 2004-12-03
US11/004,767 US7259463B2 (en) 2004-12-03 2004-12-03 Damascene interconnect structure with cap layer

Publications (2)

Publication Number Publication Date
CN1783476A true CN1783476A (zh) 2006-06-07
CN100424867C CN100424867C (zh) 2008-10-08

Family

ID=36573279

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2005100695497A Active CN100424867C (zh) 2004-12-03 2005-05-13 集成电路的内连线结构

Country Status (4)

Country Link
US (1) US7259463B2 (zh)
CN (1) CN100424867C (zh)
SG (1) SG122865A1 (zh)
TW (1) TWI246741B (zh)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102760695A (zh) * 2011-04-28 2012-10-31 格罗方德半导体公司 多层互连结构及用于集成电路的方法
CN102881675A (zh) * 2011-07-13 2013-01-16 台湾积体电路制造股份有限公司 用于高性能互连的结构和方法
CN102915958A (zh) * 2012-09-20 2013-02-06 上海集成电路研发中心有限公司 一种铜互连结构及其制造方法
CN106601664A (zh) * 2015-10-20 2017-04-26 台湾积体电路制造股份有限公司 形成有选择性沉积蚀刻停止层的自对准通孔的方法和装置
CN107026114A (zh) * 2016-01-29 2017-08-08 台湾积体电路制造股份有限公司 内连接结构及其形成方法
CN110120372A (zh) * 2013-08-28 2019-08-13 台湾积体电路制造股份有限公司 具有衬底通孔结构的器件及其形成方法
CN110581117A (zh) * 2019-09-18 2019-12-17 武汉新芯集成电路制造有限公司 一种半导体器件及其制造方法
CN110931373A (zh) * 2019-12-11 2020-03-27 武汉新芯集成电路制造有限公司 一种半导体器件及其制造方法
CN113707641A (zh) * 2021-08-25 2021-11-26 长鑫存储技术有限公司 半导体器件及其制作方法

Families Citing this family (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006210508A (ja) * 2005-01-26 2006-08-10 Sony Corp 半導体装置およびその製造方法
US7320934B2 (en) * 2005-06-20 2008-01-22 Infineon Technologies Ag Method of forming a contact in a flash memory device
US7727885B2 (en) * 2006-08-29 2010-06-01 Texas Instruments Incorporated Reduction of punch-thru defects in damascene processing
JP2008060243A (ja) * 2006-08-30 2008-03-13 Nec Electronics Corp 半導体装置およびその製造方法
US20080054466A1 (en) * 2006-08-31 2008-03-06 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing semiconductor device
US7521358B2 (en) * 2006-12-26 2009-04-21 Lam Research Corporation Process integration scheme to lower overall dielectric constant in BEoL interconnect structures
DE102007004860B4 (de) * 2007-01-31 2008-11-06 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung einer Kupfer-basierten Metallisierungsschicht mit einer leitenden Deckschicht durch ein verbessertes Integrationsschema
US7655556B2 (en) * 2007-03-23 2010-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structures for semiconductor devices
DE102007035834A1 (de) * 2007-07-31 2009-02-05 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement mit lokal erhöhtem Elektromigrationswiderstand in einer Verbindungsstruktur
DE102008021568B3 (de) 2008-04-30 2010-02-04 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Reduzieren der Erosion einer Metalldeckschicht während einer Kontaktlochstrukturierung in Halbleiterbauelementen und Halbleiterbauelement mit einem schützenden Material zum Reduzieren der Erosion der Metalldeckschicht
US8361237B2 (en) * 2008-12-17 2013-01-29 Air Products And Chemicals, Inc. Wet clean compositions for CoWP and porous dielectrics
US8095765B2 (en) * 2009-03-04 2012-01-10 Micron Technology, Inc. Memory block management
US7928570B2 (en) * 2009-04-16 2011-04-19 International Business Machines Corporation Interconnect structure
US20110081503A1 (en) * 2009-10-06 2011-04-07 Tokyo Electron Limited Method of depositing stable and adhesive interface between fluorine-based low-k material and metal barrier layer
JP6360276B2 (ja) * 2012-03-08 2018-07-18 東京エレクトロン株式会社 半導体装置、半導体装置の製造方法、半導体製造装置
US8736056B2 (en) 2012-07-31 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Device for reducing contact resistance of a metal
US9293412B2 (en) 2012-12-17 2016-03-22 International Business Machines Corporation Graphene and metal interconnects with reduced contact resistance
US9202743B2 (en) 2012-12-17 2015-12-01 International Business Machines Corporation Graphene and metal interconnects
US10032712B2 (en) * 2013-03-15 2018-07-24 Taiwan Semiconductor Manufacturing Company Limited Semiconductor structure
DE102013104464B4 (de) * 2013-03-15 2019-08-29 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleiterstruktur
US9431346B2 (en) * 2013-04-30 2016-08-30 GlobalFoundries, Inc. Graphene-metal E-fuse
US9257391B2 (en) 2013-04-30 2016-02-09 GlobalFoundries, Inc. Hybrid graphene-metal interconnect structures
US9171801B2 (en) 2013-05-09 2015-10-27 Globalfoundries U.S. 2 Llc E-fuse with hybrid metallization
US9536830B2 (en) 2013-05-09 2017-01-03 Globalfoundries Inc. High performance refractory metal / copper interconnects to eliminate electromigration
US9305879B2 (en) 2013-05-09 2016-04-05 Globalfoundries Inc. E-fuse with hybrid metallization
US20150013901A1 (en) * 2013-07-11 2015-01-15 Hsio Technologies, Llc Matrix defined electrical circuit structure
US9576892B2 (en) 2013-09-09 2017-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of forming same
US9040417B2 (en) * 2013-10-04 2015-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US9437540B2 (en) * 2014-09-12 2016-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. Additional etching to increase via contact area
US9842765B2 (en) 2015-03-16 2017-12-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US9679850B2 (en) * 2015-10-30 2017-06-13 Taiwan Semiconductor Manufacturing Company Ltd. Method of fabricating semiconductor structure
DE102018104644A1 (de) * 2017-08-30 2019-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleiterbauteil und sein herstellungsverfahren
US11031287B2 (en) * 2018-06-27 2021-06-08 Tokyo Electron Limited Fully self-aligned via with selective bilayer dielectric regrowth
US11276637B2 (en) 2019-09-17 2022-03-15 Taiwan Semiconductor Manufacturing Co., Ltd. Barrier-free interconnect structure and manufacturing method thereof
US11515203B2 (en) * 2020-02-05 2022-11-29 Tokyo Electron Limited Selective deposition of conductive cap for fully-aligned-via (FAV)
US11923295B2 (en) * 2020-02-19 2024-03-05 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect level with high resistance layer and method of forming the same
US11251368B2 (en) 2020-04-20 2022-02-15 International Business Machines Corporation Interconnect structures with selective capping layer

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6197688B1 (en) * 1998-02-12 2001-03-06 Motorola Inc. Interconnect structure in a semiconductor device and method of formation
US6127258A (en) * 1998-06-25 2000-10-03 Motorola Inc. Method for forming a semiconductor device
US6130162A (en) * 1999-01-04 2000-10-10 Taiwan Semiconductor Manufacturing Company Method of preparing passivated copper line and device manufactured thereby
US6046108A (en) * 1999-06-25 2000-04-04 Taiwan Semiconductor Manufacturing Company Method for selective growth of Cu3 Ge or Cu5 Si for passivation of damascene copper structures and device manufactured thereby
US6130157A (en) * 1999-07-16 2000-10-10 Taiwan Semiconductor Manufacturing Company Method to form an encapsulation layer over copper interconnects
US7727892B2 (en) * 2002-09-25 2010-06-01 Intel Corporation Method and apparatus for forming metal-metal oxide etch stop/barrier for integrated circuit interconnects
JP2004207281A (ja) * 2002-12-20 2004-07-22 Fujitsu Ltd 多層配線構造およびその形成方法、半導体装置
JP2004342632A (ja) * 2003-05-13 2004-12-02 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
TWI227046B (en) * 2003-11-11 2005-01-21 United Microelectronics Corp Process of metal interconnects

Cited By (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104733432B (zh) * 2011-04-28 2020-11-10 泰斯拉先进科技公司 集成电路
CN102760695A (zh) * 2011-04-28 2012-10-31 格罗方德半导体公司 多层互连结构及用于集成电路的方法
CN102760695B (zh) * 2011-04-28 2015-04-01 格罗方德半导体公司 多层互连结构及用于集成电路的方法
CN104733432A (zh) * 2011-04-28 2015-06-24 格罗方德半导体公司 集成电路
CN102881675A (zh) * 2011-07-13 2013-01-16 台湾积体电路制造股份有限公司 用于高性能互连的结构和方法
CN102881675B (zh) * 2011-07-13 2015-08-05 台湾积体电路制造股份有限公司 用于高性能互连的结构和方法
CN102915958A (zh) * 2012-09-20 2013-02-06 上海集成电路研发中心有限公司 一种铜互连结构及其制造方法
CN110120372A (zh) * 2013-08-28 2019-08-13 台湾积体电路制造股份有限公司 具有衬底通孔结构的器件及其形成方法
CN106601664A (zh) * 2015-10-20 2017-04-26 台湾积体电路制造股份有限公司 形成有选择性沉积蚀刻停止层的自对准通孔的方法和装置
US10867913B2 (en) 2015-10-20 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for forming self-aligned via with selectively deposited etching stop layer
US11532552B2 (en) 2015-10-20 2022-12-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for forming self-aligned via with selectively deposited etching stop layer
CN107026114A (zh) * 2016-01-29 2017-08-08 台湾积体电路制造股份有限公司 内连接结构及其形成方法
CN107026114B (zh) * 2016-01-29 2020-04-07 台湾积体电路制造股份有限公司 内连接结构及其形成方法
CN110581117A (zh) * 2019-09-18 2019-12-17 武汉新芯集成电路制造有限公司 一种半导体器件及其制造方法
CN110581117B (zh) * 2019-09-18 2021-04-27 武汉新芯集成电路制造有限公司 一种半导体器件及其制造方法
CN110931373A (zh) * 2019-12-11 2020-03-27 武汉新芯集成电路制造有限公司 一种半导体器件及其制造方法
CN113707641B (zh) * 2021-08-25 2023-10-24 长鑫存储技术有限公司 半导体器件及其制作方法
CN113707641A (zh) * 2021-08-25 2021-11-26 长鑫存储技术有限公司 半导体器件及其制作方法

Also Published As

Publication number Publication date
US7259463B2 (en) 2007-08-21
CN100424867C (zh) 2008-10-08
SG122865A1 (en) 2006-06-29
US20060118962A1 (en) 2006-06-08
TWI246741B (en) 2006-01-01
TW200620544A (en) 2006-06-16

Similar Documents

Publication Publication Date Title
CN1783476A (zh) 集成电路的内连线结构
CN1124647C (zh) 半导体器件中的互连结构及其制作方法
CN1293622C (zh) 半导体器件及其制造方法
CN1790663A (zh) 半导体元件及制造铜导线的方法
CN1707787A (zh) 半导体装置
CN101064296A (zh) 半导体装置及其制造方法
CN101051631A (zh) 集成电路的内联机结构、镶嵌式结构以及半导体结构
CN1599028A (zh) 金属-绝缘体-金属电容器及互连结构
CN1909206A (zh) 半导体元件中内连线结构的制造方法
CN1434509A (zh) 双镶嵌金属内连线结构及其制作方法
CN1773690A (zh) 半导体结构及其制造方法
CN101308810B (zh) 集成电路结构及其制作方法
CN1832159A (zh) 半导体元件
CN1591856A (zh) 内联机结构及其制造方法
CN1466191A (zh) 形成多层导电线的方法
CN1419277A (zh) 以金属硬遮罩层制作双镶嵌插销的方法
CN1921102A (zh) 内连线结构及其制造方法、半导体装置
CN101188210A (zh) 半导体结构的形成方法
CN1599949A (zh) 具有改良阻挡层接着力的互连结构
CN101030566A (zh) 半导体结构及其形成方法
CN1324677C (zh) 改善蚀刻中止层与金属导线间的粘着性的工艺与结构
CN1466190A (zh) 形成铜金属线的方法
KR100519169B1 (ko) 반도체 소자의 금속배선 형성방법
CN1941355A (zh) 集成电路元件及其形成方法
CN1881557A (zh) 半导体内连接结构的顶金属线上的保护结构及其形成方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant