DE102013104464B4 - Halbleiterstruktur - Google Patents

Halbleiterstruktur Download PDF

Info

Publication number
DE102013104464B4
DE102013104464B4 DE102013104464.6A DE102013104464A DE102013104464B4 DE 102013104464 B4 DE102013104464 B4 DE 102013104464B4 DE 102013104464 A DE102013104464 A DE 102013104464A DE 102013104464 B4 DE102013104464 B4 DE 102013104464B4
Authority
DE
Germany
Prior art keywords
region
metallic
cap
dielectric
semiconductor structure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE102013104464.6A
Other languages
English (en)
Other versions
DE102013104464A1 (de
Inventor
Ying-Ju Chen
Hsien-Wei Chen
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US13/855,812 external-priority patent/US10032712B2/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102013104464A1 publication Critical patent/DE102013104464A1/de
Application granted granted Critical
Publication of DE102013104464B4 publication Critical patent/DE102013104464B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

Eine Halbleiterstruktur mit: einem ersten metallischen Bereich (112) innerhalb wenigstens eines Teiles eines ersten dielektrischen Bereichs (110),
einem Kappenbereich (130) auf wenigstens einem Teil des ersten metallischen Bereichs (112),
einem zweiten metallischen Bereich (122) innerhalb wenigstens eines Teiles eines zweiten dielektrischen Bereichs (120), wobei der zweite dielektrische Bereich (120) oberhalb wenigstens eines Teiles wenigstens des ersten dielektrischen Bereichs (110), des Kappenbereichs (130) oder des ersten metallischen Bereichs (112) ist,
einem Durchkontaktierungsstecker (124), der den ersten metallischen Bereich (112) und den zweiten metallischen Bereich (122) miteinander verbindet, wobei sich der Durchkontaktierungsstecker (124) von dem zweiten metallischen Bereich (122) durch den Kappenbereich (130) und in den ersten metallischen Bereich (112) erstreckt, und wobei ein Bodenabschnitt (126) des Durchkontaktierungssteckers (124), der sich in den ersten metallischen Bereich (112) erstreckt, ein sich verjüngendes Profil hat, und
einem Sperrbereich (150) zwischen dem zweiten metallischen Bereich (122) und dem zweiten dielektrischen Bereich (120) und zwischen dem Durchkontaktierungsstecker (124) und dem zweiten dielektrischen Bereich (120), dem Kappenbereich (130) und dem ersten metallischen Bereich (112),
dadurch gekennzeichnet, dass der Kappenbereich (130) innerhalb des ersten dielektrischen Bereichs (110) ist, so dass eine Oberfläche des Kappenbereichs (130) mit einer Oberfläche des ersten dielektrischen Bereichs (110) fluchtet.

Description

  • HINTERGRUND
  • Durchleitungsstecker (Via Plugs) werden häufig zum Verbinden von metallischen Bereichen einer integrierten Schaltung (IC) verwendet. Beispielsweise kann sich ein Durchleitungsstecker zum Verbinden einer ersten metallischen Schicht eines IC mit einer zweiten metallischen Schicht des IC verwendet werden.
  • DE 11 2010 003 659 T5 , US 2008/0 150 138 A1 , DE 10 2008 021 568 B3 und US 2006 / 0 118 962 A1 offenbaren jeweils einen Halbleiteraufbau mit einem dielektrischen Bereich und einem Kappenbereich, der oberhalb des dielektrischen Bereichs angeordnet ist. Druckschrift US 2007/0 202 689 A1 beschreibt einen Halbleiteraufbau ohne Verwendung einer Kappenschicht.
  • ERLÄUTERUNG DER ZEICHNUNGEN
  • Aspekte der Offenbarung werden aus der nachfolgenden eingehenden Beschreibung, bei deren Lesen unter Bezugnahme auf die beiliegenden Zeichnungen verständlich. Es versteht sich, dass Elemente, Strukturen usw. der Zeichnungen nicht notwendigerweise maßstäblich sind. Die Dimensionen der Zeichnungen können daher vergrößert oder verkleinert sein zum Zwecke der Diskussion.
    • 1 ist eine Querschnittsansicht einer Halbleiterstruktur nach einigen nicht erfindungsgemäßen Ausführungsbeispielen.
    • 2 ist eine Querschnittsansicht einer Halbleiterstruktur nach einigen erfindungsgemäßen Ausführungsbeispielen nach einigen Ausführungsbeispielen.
    • 3 ist eine Querschnittsansicht einer Halbleiterstruktur nach einigen weiteren erfindungsgemäßen Ausführungsbeispielen.
    • 4 ist ein Flussdiagramm eines Verfahrens zum Bilden einer Halbleiterstruktur nach einigen Ausführungsbeispielen.
    • 5 bis 15 sind Querschnittsansichten einer Halbleiterstruktur während verschiedener Herstellungsstufen nach einigen nicht erfindungsgemäßen Ausführungsbeispielen.
  • EINGEHENDE BESCHREIBUNG
  • Ausführungsbeispiele, die in den Zeichnungen dargestellt sind, werden unten unter Verwendung einer besonderen Ausdrucksweise offenbart. Es versteht sich jedoch, dass die Ausführungsbeispiele nicht beschränkend sein sollen. Änderungen oder Modifikationen der offenbarten Ausführungsbeispiele und weitere Anwendungen der Grundgedanken, die in diesem Dokument offenbart sind, werden einbezogen, wie dies der Fachmann auf dem vorliegenden Gebiet normalerweise tun würde.
  • Es ist zu beachten, dass der Begriff „Schicht“, wie er hier verwendet wird, einen Bereich angibt, nicht notwendigerweise einen solchen mit einer gleichförmigen Dicke. Beispielsweise ist eine Schicht ein Bereich wie eine Fläche, die beliebige Grenzen hat. Als ein anderes Beispiel ist eine Schicht ein Bereich, der wenigstens einige Variationen in seiner Dicke hat. Es versteht sich, dass bei einigen hier dargestellten Figuren eine oder mehr Grenzen, etwa die Grenze 126 von 1, mit unterschiedlichen Höhen, Breiten, Umfängen, Verhältnissen usw. relativ zueinander nur für illustrative Zwecke dargestellt sind, sie sind also nicht notwendigerweise maßstäblich. Da die gestichelten und die gepunkteten Linien dazu dienen, unterschiedliche Grenzen anzugeben, wären sie in den Figuren nicht zu unterscheiden, wenn die gestrichelten und gepunkteten Linien übereinander angeordnet wären. Sie sind daher in einigen Figuren mit unterschiedlichen Dimensionen oder leicht gegeneinander versetzt dargestellt, damit dass sie voneinander unterscheidbar sind. Wo die Grenzen eine unregelmäßige Form haben, muss, als ein weiteres Beispiel, die Grenze wie ein Kasten, der mit einer gestrichelten Linie, einer gepunkteten Linie usw. gezeichnet ist, nicht notwendigerweise eine ganze Komponente in einigen Beispielen erfassen. Umgekehrt erfassen Kästen nicht notwendigerweise nur eine zugehörige Komponente, in einigen Beispielen erfassen sie wenigstens einen Teil einer oder mehrerer anderer Komponenten.
  • Die nachfolgenden Figuren zeigen die Bildung einer Halbleiterstruktur während der Herstellung des Halbleiters entsprechend einiger Ausführungsbeispiele. Es ist jedoch zu berücksichtigen, dass die jeweiligen Figuren unter Bezugnahme auf einander beschrieben werden, so etwa unter Bezugnahme auf eine vorangehende Figur. Beispielsweise zeigen die 5 bis 15 Zwischenstufen der Bildung einer Halbleiterstruktur nach einigen nicht erfindungsgemäßen Ausführungsbeispielen.
  • Im Allgemeinen ist ein metallischer Bereich wie eine Kupferleitung einer integrierten Schaltung (IC) in einem Dielektrikum gebildet. Die Spannungsmigration (SM) und die Elektromigration (EM) sind zwei bekannte Phänomene, die häufig in ICs auftreten. Sowohl SM als auch EM führen im Allgemeinen zu Fehlern innerhalb der Leiter, was die Leistungsfähigkeit eines IC mindert. Beispielsweise verursacht bei EM der Stromfluss in einem Leiter einen Transfer des Moments von Elektronen zu Ionen, die Atome verlagern und zu Fehlstellen in dem Leiter führt. Bei SM bilden sich Fehlstellen infolge einer Vakanzmigration und einem hydrostatischen Spannungsgradienten. Leerstellen in einem Leiter führen zu offenen Schaltungen oder einem erhöhten Widerstand, der die Leistungsfähigkeit des IC begrenzt. Oft wird ein Kappenbereich auf einem metallischen Bereich gebildet, um EM und SM zu vermeiden. Die Kappenregion wird aus Materialien gebildet, die die Effekte von EM und SM verhindern, was beispielsweise zur Bildung von weniger Leerstellen führt.
  • Erfindungsgemäß wird ein Durchleitungsstecker zum Verbinden eines ersten metallischen Bereichs mit einem zweiten metallischen Bereich gebildet, wobei ein Kappenbereich über dem ersten metallischen Bereich gebildet wird. Entsprechend erstreckt sich ein Bereich des Durchleitungssteckers über den Kappenbereich und in den ersten metallischen Bereich. Es ist jedoch zu berücksichtigen, dass die Erstreckung des Durchleitungssteckers durch den Kappenbereich die Verbesserung von EM und SM, der von dem Kappenbereich gefordert wird, erheblich zurückbleibt, während sie RC-Erscheinungen, die sonst auftreten würden, wenn der Durchleitungsstecker angeordnet ist oder sich nur erstreckt in den Kappenbereich reduziert wird, erlauben. Der Kappenbereich ist beispielsweise im Allgemeinen aus einem anderen Material als der erste metallische Bereich, der Durchleitungsstecker und der zweite metallische Bereich. Das Vorhandensein des Kappenbereichs zwischen dem ersten und dem zweiten Bereich und dem zweiten metallischen Bereich erhöht die Resistivität eines leitenden Pfades zwischen dem ersten metallischen Bereich und dem zweiten metallischen Bereich. Erlaubt man, dass der leitfähige Pfad lediglich den ersten metallischen Bereich aufweist, reduzieren der Durchleitungsstecker und der zweite leitfähige Bereich den Widerstand des leitenden Pfades, was wiederum die RC Verzögerung, die dem IC eigen ist, reduziert.
  • Erfindungsgemäß ist der Bodenbereich des Durchleitungssteckers, der sich in den ersten metallischen Bereich erstreckt, so ausgebildet, dass er ein sich verjüngendes Profil hat. Es ist zu berücksichtigen, dass das sich verjüngende Profil unterschiedliche Steigungen oder Beträge des Konus haben kann, beispielsweise durch Steuern einer oder mehrerer Variablen des Ätzvorgangs.
  • Entsprechend wird das sich verjüngende Profil bei einigen Ausführungsbeispielen im Wesentlichen gerundet sein. Das sich verjüngende Profil bietet insofern Vorteile über sich nicht verjüngende Profile, als es z.B. eine Sperrschicht oder andere Sperrschichten zulässt, die gleichförmiger oder übereinstimmender in einer Öffnung ausgebildet sind, in der der sich verjüngende Durchkontaktierungsstecker ausgebildet ist. Das sich verjüngende Profil erlaubt auch, dass der Kontaktierungsstecker in dem metallischen Bereich ausgebildet wird, wobei weniger Fehlerstellen und ein zuverlässigerer Kontakt zwischen dem Durchkontaktierungsstecker und dem ersten Metallbereich wahrscheinlicher sind verglichen mit einem rechteckigen oder quadratischen Durchkontaktierungsstecker mit scharfen Kanten. Das Fehlen von scharfen Kanten mindert elektromagnetische Felder und Flusslinien, die dazu neigen, scharfe Kanten zu entwickeln, wo solche Felder einen Stromschluss verhindern oder wenigstens mit einem gleichförmigen und vorhersagbaren Stromfluss nicht übereinstimmen.
  • 1 ist eine Querschnittsansicht 100 einer Halbleiterstruktur nach einigen Ausführungsbeispielen. Ein erster dielektrischer Bereich 110 ist auf einer Basis, etwa einem Halbleitersubstrat (nicht gezeigt) ausgebildet. Bei einigen Ausführungsbeispielen weist der dielektrische Bereich 110 eine Dicke auf, die größer als 500 Å ist. Bei einigen Ausführungsbeispielen hat der dielektrische Bereich 110 eine geringe dielektrische Konstante (k-Wert), wie einen Wert von etwa 3,8 oder weniger. Bei einigen Ausführungsbeispielen hat der dielektrische Bereich 110 einen k-Wert von etwa 3,0 oder weniger. Bei einigen Ausführungsbeispielen hat der dielektrische Bereich einen k-Wert von etwa 2,5 oder weniger. Der erste dielektrische Bereich 110 ist bei einigen Ausführungsbeispielen weiter dadurch gekennzeichnet oder klassifiziert als „ultra-low-k“ (ULK), „extra-low-k“(ELK) oder „extrem-low-k“ (XLK), wobei die Klassifikationen im Allgemeinen auf dem k-Wert basieren. Beispielsweise bezieht sich der ULK allgemein auf Materialien mit einem k-Wert von zwischen 2,7 bis etwa 2,4, ELK im Allgemeinen auf Materialien mit einem k-Wert von zwischen 2,3 bis etwa 2,0, und XLK im Allgemeinen auf Materialien mit einem k-Wert von weniger als 2,0. Bei einigen Ausführungsbeispielen weist der dielektrische Bereich Kohlenstoff, Wasserstoff, Sauerstoff oder Kombinationen daraus auf. Weiter beispielhaft und ohne jede Begrenzung weist der erste dielektrische Bereich 110 Spin-On-Glas (SOG), Fluorisiertes Siliziumglas (FSG), Organosilikatglas, Porogen-enthaltende Materialien, mit Kohlenstoff dotiertes Siliziumoxid (beispielsweise SiCOH), schwarze Diamanten (RTM) (Materialien von Santa Clara, Kalif.), Cerogel, Aerogel, amorph fluorinisierten Kohlenstoff, Parylen, BCB (Benzozyclobuten), Flare, SILK (Dow Chemical, Midland, Mich.), Polyimid, andere geeignete poröse polymerische Materialien, andere geeignete dielektrische Materialien oder Kombinationen daraus. Auf. Bei einigen Ausführungsbeispielen weist der erste dielektrische Bereich 110 einen oder mehrere Dotanden auf. Bei anderen Strukturen, Merkmalen, Elementen, Schichten usw., die hier vorgesehen sind, wird der erste dielektrische Bereich 110 mit einem beliebigen geeigneten Verfahren herstellt, wie Spin-On-Beschichtung, Chemischer Dampfablagerung (CVD) Atomarer Schichtablagerung ,(ALD), Hochdichtem Plasma CVD (HPCVD), Tiefdruck CVD (LPCVD), metallorganischem CVD (MOCVD), Fernplasma CVD (RPCVD), plasmaverstärktes CVD (PECVD), hochdichter Plasmavorgang (HDP), Hochaspektverhältisverfahren (HARP) oder andere geeignete Prozesse oder Kombinationen daraus. Es versteht sich, dass bei einigen Ausführungsbeispielen der erste dielektrische Bereich eine oder mehrere dielektrische Materialien und zusätzlich oder alternativ eine oder mehrere dielektrische Schichten aufweist.
  • Ein erster metallischer Bereich 112 ist in dem ersten dielektrischen Bereich 110 gebildet. Bei diesem erfindungsgemäßen Ausführungsbeispiel ist die Oberfläche des ersten metallischen Bereichs 112 mit einer Oberfläche des ersten dielektrischen Bereichs 110 fluchtend. Es ist jedoch möglich, dass bei einigen nicht erfindungsgemäßen Ausführungsbeispielen die Oberfläche des ersten metallischen Bereichs 112 nicht mit der Oberfläche des ersten dielektrischen Bereichs 110 fluchtet. Der erste metallische Bereich 112 weist bei einigen Ausführungsbeispielen Kupfer, Kupferlegierungen oder Kupferverbindungen auf. Der erste metallische Bereich 112 hat bei einigen Ausführungsbeispielen eine Breite zwischen etwa 250 Å bis etwa 450 Å und ist durch Metallisierung gebildet.
  • Ein Sperrbereich 160 ist zwischen dem ersten metallischen Bereich 112 und dem ersten dielektrischen Bereich 110 angeordnet. Der Sperrbereich 160 weist im Allgemeinen ein auf Tantal basierendes Material wie Tantalnitrid (TaN) auf und hat in einigen Ausführungsbeispielen eine Dicke zwischen 10 Å bis etwa 100 Å. Der Sperrbereich 160 ist unter Verwendung von Ablagerungstechniken gebildet, etwa durch Sputtern, CVD, oder einer Atomschichtablagerung ALD. Der Sperrbereich 160 hindert Metall wie Kupfer von dem Diffundieren in benachbarte Bereiche, etwa dem ersten dielektrischen Bereich 110. Der Sperrbereich dient weiter zum Anhaften des ersten metallischen Bereichs 112 an den ersten dielektrischen Bereich 110.
  • Ein Kappenbereich 130 ist auf dem ersten metallischen Bereich 112 ausgebildet. Der Kappenbereich 130 weist ein Material auf, das konfiguriert ist zum Verhindern einer Migration von Metall, etwa Kupfer, von dem einen Bereich zu dem anderen. Bei einigen Ausführungsbeispielen basiert der Kappenbereich 130 auf Kobalt oder einer Kobalt beinhaltenden leitfähigen Schicht. Der Kappenbereich 130 weist beispielsweise CoWP auf, bei einigen Ausführungsbeispielen, bei denen die CoWP das Kupfermetall von einem Diffundieren von dem ersten metallischen Bereich 112 zu einem zweiten dielektrischen Bereich 120 abhält. Auf diese Weise behindert der Kappenbereich 130 die Elektromigration, die mit der Kupferdiffusion zusammenhängt. Bei einigen Ausführungsbeispielen ist eine Bodenfläche des Kappenbereichs 130 mit der Oberfläche des ersten dielektrischen Bereichs 110 fluchtend. Der Kappenbereich 130 ist im Wesentlichen unter Verwendung von PVD gebildet und ist in seiner Dicke bei einigen Ausführungsbeispielen zwischen 100 Å und etwa 300 Å. Einige oder mehrere Schichten sind bei einigen Ausführungsbeispielen auf dem Kappenbereich 130 und dem ersten dielektrischen Bereich 110 ausgebildet. In 1 ist ein zweiter dielektrischer Bereich 120 auf dem Kappenbereich 130 und dem ersten dielektrischen Bereich 110 ausgebildet. Die vorangehende Diskussion bezüglich Eigenschaften, Zusammensetzung, Bildung usw. des ersten dielektrischen Bereichs 110 ist auch auf den zweiten dielektrischen Bereich 120 anwendbar.
  • Ein zweiter metallischer Bereich 122 ist innerhalb des zweiten dielektrischen Bereichs 120 ausgebildet. Der zweite metallische Bereich 122 weist bei einigen Ausführungsbeispielen Kupfer, Kupferverbindungen oder Kupferlegierungen auf. Ein Durchkontaktierungsstecker 124 verbindet den ersten metallischen Bereich 112 mit dem zweiten metallischen Bereich 122. Der Durchkontaktierungsstecker 124 weist auch Kupfer, Kupferlegierungen oder Kupferverbindungen auf, in einigen Ausführungsbeispielen. Obwohl der Durchkontaktierungsstecker 124 und der zweite metallische Bereich 122 als gesonderte Bereiche bezeichnet sind, sind sie bei einigen Ausführungsbeispielen gleichzeitig ausgebildet. Ein Damasceneverfahren wie ein Doppeldamasceneverfahren wird beispielsweise während der Metallisierung einer leitfähigen Leitung, die den Durchkontaktierungsstecker 124 und den zweiten metallischen Bereich 122 beinhaltet, ausgeführt, wobei die leitfähige Leitung ein einziger, kontinuierlicher Bereich ist. Der zweite metallische Bereich 122 hat in einigen Ausführungsbeispielen eine Breite von etwa 250 Å bis etwa 400 Å und der Durchkontaktierungsstecker 124 hat in einigen Ausführungsbeispielen eine Höhe größer als 500 Å.
  • Der Durchkontaktierungsstecker 124 weist einen Bodenabschnitt 126 auf, der sich in den ersten metallischen Bereich 112 erstreckt oder in diesem vertieft ist. Bei einigen Ausführungsbeispielen ist der Bodenabschnitt 126 des Durchkontaktierungssteckers 124 mit einem Durchkontaktierungsausnehmungsabstand 202 zusammenhängend unter einem Interface des Kappenbereichs 130 und des ersten metallischen Bereichs 112. Der Durchkontaktierungsvertiefungsabstand 202 ist der Abstand, den der Bodenabschnitt 126 des Durchkontaktierungssteckers 124 sich in den ersten metallischen Bereich 112 erstreckt. Der Durchkontaktierungsvertiefungsabstand 202 beträgt bei einigen Ausführungsbeispielen zwischen etwa 100 Å bis etwa 500 Å.
  • Während der Ausbildung des Durchkontaktierungssteckers 124 in dem ersten metallischen Bereich 122 zeigt ein Leitungspfad durch den Durchkontaktierungsstecker 124 und dem ersten metallischen Bereich 112 eine verbesserte elektrische Konnektivität und so eine verbesserte RC Eigenschaft. Da der Durchkontaktierungsstecker 124 mit anderen Worten einen elektrischen Kontakt mit dem ersten metallischen Bereich 112 ohne Berühren des Kappenbereichs 130 als eine Zwischenschicht herstellt, wird die RC-Eigenschaft für den Leitungspfad durch den Kappenbereich 130 verbessert, wovon die EM und die SM Nutzen haben.
  • Eine Sperrschicht 150 ist zwischen dem Durchkontaktierungsplag 124 und dem zweiten dielektrischen Bereich 120, dem Kappenbereich 130 und dem ersten metallischen Bereich 112 sowie zwischen dem zweiten metallischen Bereich 122 und dem zweiten dielektrischen Bereich 120 ausgebildet. Der Sperrbereich 150 ist aus Tantalnitrid (TaN), Kobalt usw. gebildet und weist in einigen Ausführungsbeispielen eine Dicke von wenigstens etwa 10 Å bis wenigstens etwa 100 Å auf. Der Sperrbereich 150 ist unter Verwendung von PVD Ablagerungstechnik wie Sputtern, CVD oder ALD gebildet. Der Sperrbereich 150 hindert ein Metall wie Kupfer an einem Diffundieren in benachbarte dielektrische Bereiche, wie dem ersten dielektrischen Bereich 110 oder dem zweiten dielektrischen Bereich 120. Durch Bilden des Sperrbereichs 150 aus TaN wird beispielsweise Kupfer der metallischen Bereiche 112 und 122 und des Durchkontaktierungssteckers 124 daran gehindert, in den zweiten dielektrischen Bereich 120 und den ersten dielektrischen Bereich 110 zu diffundieren.
  • 2 ist nach einigen Ausführungsbeispielen eine Querschnittsansicht 200 einer Halbleiterstruktur. Es ist zu berücksichtigen, dass die Halbleiterstruktur von 2 der Halbleiterstruktur von 1 ähnlich ist mit der Ausnahme, dass die Halbleiterstruktur von 2 eine Ätzstoppschicht (ESL) 210 zwischen dem zweiten dielektrischen Bereich 120 und dem Kappenbereich 130 und dem ersten dielektrischen Bereich 110 aufweist. Weiter weist der Bodenabschnitt 126 des Durchkontaktierungssteckers 124 erfindungsgemäß ein sich verjüngendes Profil auf, anders als beispielsweise ein rechteckiges Profil. Ein sich verjüngender Abschnitt des Durchkontaktierungssteckers hat eine Breite 204 zwischen etwa 50 Å bis etwa 150 Å, in einigen Ausführungsbeispielen. Es ist zu berücksichtigen, dass erfindungsgemäß der Kappenbereich 130 innerhalb des dielektrischen Bereichs 110 ist, so dass eine Oberfläche des Kappenbereichs 130 mit einer Oberfläche des ersten dielektrischen Bereichs 110 fluchtet, wie dies in der Halbleiterstruktur von 2 gezeigt ist. Bei anderen, nicht erfindungsgemäßen Ausführungsbeispielen ist der Kappenbereich innerhalb der ESL 210 oder innerhalb des zweiten dielektrischen Bereichs 120, wie in der Halbleiterstruktur, die in 1 gezeigt ist. Die ESL 210 weist bei einigen Ausführungsbeispielen Kohlenstoff, Silizium, Stickstoff oder Kombinationen daraus auf. Beispielsweise weist bei einigen Ausführungsbeispielen die ESL 210 SiN oder SiCN auf. Die ESL 210 wird bei einigen Ausführungsbeispielen durch eine Ablagerungstechnik wie CVD gebildet. Bei einigen Ausführungsbeispielen hat die ESL 210 eine Dicke von etwa 100 Å bis etwa 300 Å. Bei einigen Ausführungsbeispielen weist die Halbleiterstruktur eine oder mehrere zusätzliche ESL auf.
  • Es ist zu berücksichtigen, dass das sich verjüngende Profil des Bodenabschnitts 126 des Durchkontaktierungssteckers 124 unter anderem Strom daran hindert, beeinträchtigt zu werden, weil das verjüngende Profil keine scharfen Winkel aufweist, wie dies ein rechteckiges Profil tut. Im Allgemeinen tritt eine Beeinträchtigung des Stroms auf, wenn eine höhere Stromdichte vorhanden ist, wie an den scharfen Kanten eines metallischen Bereichs. Diese höhere Stromdichte, die mit einer Strombeeinträchtigung verbunden ist, kann die Zuverlässigkeit beeinträchtigen durch Auftreten einer Elektromigration und von Spannungsmigration der Struktur. Da die Strombeeinträchtigung beeinträchtigt ist, werden die Elektromigration und die Spannungsmigration wirksam reduziert, wodurch zum Beispiel das Auftreten von Fehlerstellen, ein erhöhter Widerstand und offene Schaltungen innerhalb der Halbleiterstruktur von 2 verhindert werden.
  • 3 ist eine Querschnittsansicht 300 einer Halbleiterstruktur nach einigen Ausführungsbeispielen. Es ist zu berücksichtigen, dass die Halbleiterstruktur von 3 ähnlich der Halbleiterstruktur von 2 ist mit der Ausnahme, dass der Bodenabschnitt 126 der Halbleiterstruktur sich auf ein anderes Maß als die Struktur, die in 2 gezeigt ist, verjüngt. Der Bodenabschnitt 126 von 3 ist runder als der, wie er beispielsweise in 2 gezeigt ist. Es ist jedoch zu berücksichtigen, dass durch Einstellen des Drucks, der Temperatur oder chemischer Eigenschaften alleine oder in Kombination mit anderen Variablen wenigstens ein Trockenätzverfahren, ein Nassätzverfahren und andere Musterungsprozesse zur Erreichung eines gewünschten Profils kontrolliert werden. Beispielsweise wird wenigstens eine der besonderen Ätzselektivität oder der Ätzrate erreicht zur Erzeugung eines bestimmten Grades oder Betrages der Verjüngung verwendet, wie dies im Folgenden beschrieben werden wird. Das in 3 gezeigte, sich verjüngende Profil bietet wenigstens einige Vorteile, die denjenigen, wie sie unter Bezug auf 2 beschrieben worden sind, ähneln.
  • 4 ist ein Flussdiagramm des Verfahrens 400 zum Bilden einer Halbleiterstruktur nach einigen Ausführungsbeispielen. Im Schritt 402 ist bei einigen Ausführungsbeispielen ein erster dielektrischer Bereich 110 auf einem Basismaterial ausgebildet, etwa einem Substrat, und weist eine Dicke auf, die größer ist als etwa 500 Å. Im Schritt 404 ist ein erster metallischer Bereich 112 innerhalb des ersten dielektrischen Bereichs 110 ausgebildet. Um den ersten metallischen Bereich zu bilden, wird eine Grabenöffnung innerhalb des ersten dielektrischen Bereichs 100 durch einen Trockenätzvorgang bei einigen Ausführungsbeispielen gebildet. Beispielsweise wird bei einigen Ausführungsbeispielen der erste dielektrische Bereich 110 unter Verwendung von C4F8 als reaktives Ätzmittel in einem Plasmaätzvorgang geätzt. Bei einigen Ausführungsbeispielen wird der erste metallische Bereich innerhalb der Grabenöffnung des ersten dielektrischen Bereichs durch Metallisierung gebildet und hat eine Breite von etwa 250 Å bis etwa 400 Å. Bei einigen Ausführungsbeispielen wird der erste metallische Bereich derart ausgebildet, dass eine Oberfläche des ersten metallischen Bereichs 112 mit einer Oberfläche des ersten dielektrischen Bereichs 110 fluchtet. Beispielsweise werden Rückätzeinebenungstechniken verwendet zum Ebnen einer Fläche des ersten metallischen Bereichs, etwa der Oberfläche des ersten metallischen Bereichs 112 fluchtend oder im Wesentlichen fluchtend mit der Oberfläche des ersten dielektrischen Bereichs 110. Bei einigen Ausführungsbeispielen wird eine Sperrschicht 160 innerhalb der Grabenöffnung vor dem Bilden des ersten metallischen Bereichs 112 gebildet. Die Sperrschicht dient zum Anhaften des ersten metallischen Bereichs 112 an den ersten dielektrischen Bereich 110 und verhindert eine Diffusion, etwa eine Kupferdiffusion, aus dem ersten metallischen Bereich 112 in den ersten dielektrischen Bereich 110.
  • Im Schritt 406 wird ein Kappenbereich 130 auf wenigstens dem ersten metallischen Bereich 112 gebildet. Bei einigen Ausführungsbeispielen weist der Kappenbereich Kobalt, etwa CoWP, auf. Infolgedessen wird eine Diffusion von dem ersten metallischen Bereich 112 zu den benachbarten Bereichen verhindert. Auf diese Weise verhindert der Kappenbereich 130 Wanderungseffekte der Elektromigration, die mit der Kupferdiffusion zusammenhängen. Erfindungsgemäß ist die Oberfläche des Kappenbereichs 130 mit der Oberfläche des ersten dielektrischen Bereichs 110 fluchtend, die Oberfläche des ersten metallischen Bereichs 112 fluchtet mit der Oberfläche des ersten dielektrischen Bereichs 110. Bei diesen Ausführungsbeispielen ist der erste metallische Bereich um zwischen etwa 100 Å bis etwa 200 Å vor dem Bilden des Kappenbereichs vertieft. Der Kappenbereich wird bei einigen Ausführungsbeispielen durch Ablagerung- oder elektrofreie Vorgänge gebildet. Der Kappenbereich hat bei einigen Ausführungsbeispielen eine Dicke von zwischen etwa 100 Å und etwa 300 Å.
  • Im Schritt 408 wird eine Ätzstoppschicht (ESL) 210 auf dem Kappenbereich 130 und dem ersten dielektrischen Bereich 110 ausgebildet. Die ESL 210 wird durch einen Ablagerungsvorgang, etwa CVD, in einigen Ausführungsbeispielen gebildet und hat in einigen Ausführungsbeispielen eine Dicke zwischen etwa 100 Å und etwa 300 Å.
  • Im Schritt 410 wird ein zweiter dielektrischer Bereich 120 auf dem ESL gebildet. Der zweite dielektrische Bereich 120 hat bei einigen Ausführungsbeispielen eine Dicke größer als etwa 500 Å. Im Schritt 412 ist eine erste Grabenöffnung in dem zweiten dielektrischen Bereich 120 ausgebildet. Im Schritt 414 wird eine Durchkontaktierungsöffnung in dem ersten dielektrischen Bereich 120 ausgebildet. Es ist zu beachten, dass der Ansatz mit einer ersten Bildung eines Grabens bei einigen Ausführungsbeispielen verwendet wird, während ein Ansatz mit einer ersten Durchkontaktierung mit anderen Ausführungsbeispielen verwendet wird, wo eine Durchkontaktierungsöffnung gebildet wird vor der Öffnung eines Grabens bei einem Vorgehen, bei dem zunächst die Durchkontaktierungsöffnung gebildet wird. Während der Bildung der ersten Grabenöffnung und der ersten Durchkontaktierungsöffnung wird ein Trockenätzen mit C4F8 als reaktives Gas verwendet zum Ätzen wenigstes der zweiten dielektrischen Schicht 120 und der ESL 210, in einigen Ausführungsbeispielen.
  • Es ist zu berücksichtigen, dass die Durchkontaktierungsöffnung ausgebildet wird, um sich zwischen der ESL 210, dem Kappenbereich 130 und in wenigstens einen Teil des ersten metallischen Bereichs 112 zu erstrecken. Die erste Durchkontaktierungsöffnung ist derart gebildet, dass ein Durchkontaktierungsverbindungsabstand 202 zwischen etwa 100 Å bis etwa 400 Ä beträgt und wird in den ersten metallischen Bereich geätzt, bei einigen Ausführungsbeispielen. Es versteht sich, dass verschiedene Techniken zum Mustern des Kappenbereichs 130 und des ersten metallischen Bereichs 112 in Abhängigkeit von einem gewünschten Profil verwendet werden, wie dies weiter unten beschrieben werden wird.
  • Im Schritt 416 wird ein Sperrbereich 150 innerhalb der ersten Durchkontaktierungsöffnung und der ersten Grabenöffnung ausgebildet. Der Sperrbereich 150 weist TaN auf und wird bei einigen Ausführungsbeispielen durch PVD, CVD oder ALD gebildet. Der Sperrbereich 150 hindert ein Metall wie Kupfer daran, von einem Durchkontaktierungsstecker oder einem metallischen Bereich in einen benachbarten dielektrischen Bereich zu wandern, wodurch beispielsweise eine Elektromigration verhindert wird.
  • Im Schritt 418 wird der Durchkontaktierungsstecker in der zweiten Durchkontaktierungsöffnung durch Metallisierung gebildet. Im Schritt 420 wird ein zweiter metallischer Bereich 122 in der ersten Grabenöffnung durch Metallisierung gebildet. Es ist zu berücksichtigen, dass der Durchkontaktierungsstecker 124 und der zweite metallische Bereich 122 bei einigen Ausführungsbeispielen gleichzeitig gebildet werden. Der zweite metallische Bereich 122 hat eine Breite zwischen etwa 250Å bis etwa 400 Å in einigen Ausführungsbeispielen, der Durchkontaktierungsstecker hat in einigen Ausführungsbeispielen eine Höhe und eine Dicke, die mehr als 500 Å betragen. Da der Durchkontaktierungsstecker 124 in der ersten Durchkontaktierungsöffnung ausgebildet ist, entspricht er dem Profil der ersten Durchkontaktierungsöffnung. Ein Bodenabschnitt 126 des Durchkontaktierungssteckers 124 weist bei einigen Ausführungsbeispielen ein sich verjüngendes Profil auf, wenn die erste Durchkontaktierungsöffnung entsprechend ausgebildet wird. Auf diese Weise ist der Bodenabschnitt 126 des Durchkontaktierungssteckers 124 in dem ersten metallischen Bereich 112 eingesetzt. Bei einigen Ausführungsbeispielen beträgt der Abstand der Durchkontaktierungsvertiefungen 202 zwischen etwa 100 Å bis etwa 500 Å. Da der Durchkontaktierungsstecker 124 elektrischen Kontakt mit dem ersten metallischen Bereich 124 und den zweiten metallischen Bereich 122 aufweist, nicht also dem Kappenbereich 130 als eine Zwischenschicht, ist die RC-Eigenschaft für einen leitfähigen Pfad, der den ersten metallischen Bereich 112, den Durchkontaktierungsstecker 124 und den zweiten metallischen Bereich 122 aufweist, erhöht.
  • 5 ist eine Querschnittsansicht 500 einer Halbleiterstruktur zwischen einer Zwischenstufe des Herstellungsverfahrens nach einigen Ausführungsbeispielen. Ein erster dielektrischer Bereich 110 ist auf einem Substrat (nicht gezeigt) gebildet.
  • 6 ist eine Querschnittsansicht 600 einer Halbleiterstruktur während eines Zwischenschritts nach einigen Ausführungsbeispielen. Ein Graben 112A ist in dem ersten dielektrischen Bereich 110 gebildet. Im Allgemeinen wird der Graben durch Photolithographie gebildet, etwa durch Mustern einer Schicht eines Photolacks (nicht gezeigt) oder einer Hartmaske (nicht gezeigt), Öffnen eines Fensters in der Photolackschicht und Ätzen des Grabens 112A. Bei einigen Ausführungsbeispielen wird C4F8 zum Ätzen des Grabens 112A in der ersten dielektrischen Schicht 110 verwendet. Der Photolack und die Hartmaskenschichten werden entfernt und sind daher in 5 nicht gezeigt. Zusätzlich wird ein Sperrbereich 160 in der Grabenöffnung 112A gebildet. Der Sperrbereich 160 ist bei einigen Ausführungsbeispielen aus Tantalnitrid (TaN) oder Kobalt gebildet. Die Sperrschicht 160 hat bei einigen Ausführungsbeispielen eine Dicke zwischen etwa 10Å bis etwa 100 Å. Der Sperrbereich 160 wird in einigen Ausführungsbeispielen unter Verwendung von Ablagerungstechniken, wie Sputtern, CVD oder ALD gebildet. Der Sperrbereich 160 hindert ein Metall (nicht gezeigt) wie Kupfer daran, in die benachbarten dielektrischen Bereiche wie dem ersten dielektrischen Bereich 110 zu diffundieren.
  • 7 ist eine Querschnittsansicht 700 einer Halbleiterstruktur während einer Zwischenherstellungsstufe nach einigen Ausführungsbeispielen. Ein erster metallischer Bereich 112 ist in dem Graben 112A von 5 ausgebildet. Der erste metallische Bereich wird bei einigen Ausführungsbeispielen durch Metallisierung gebildet und wird eingeebnet, um mit dem ersten dielektrischen Bereich 110 zu fluchten.
  • 8 ist eine Querschnittsansicht 800 einer Halbleiterstruktur während einer Zwischenstufe nach einigen Ausführungsbeispielen. Ein Kappenbereich 130 ist auf wenigstens einem Teil des ersten metallischen Bereichs 112 ausgebildet. Bei einigen Ausführungsbeispielen wird ein Teil des ersten metallischen Bereichs 112 zurückgeätzt und der Kappenbereich 130 wird in dem ersten metallischen Bereich 112 ausgebildet. Bei diesen Ausführungsbeispielen kann der Kappenbereich 130 derart eingeebnet sein, dass der Kappenbereich 130 mit dem ersten dielektrischen Bereich 110 fluchtet. Der Kappenbereich 130 weist im Allgemeinen ein Material auf, das ausgebildet ist zum Verhindern einer Diffusion von Metall von dem ersten metallischen Bereich 112 zu einem zweiten dielektrischen Bereich (nicht gezeigt).
  • 9 ist eine Querschnittsansicht 900 einer Halbleiterstruktur während einer Zwischenfertigungsstufe nach einigen Ausführungsbeispielen. Eine Ätzstoppschicht (ESL) 210 ist auf wenigstens einen Teil des Kappenbereichs 130 und dem ersten dielektrischen Bereich 110 ausgebildet. Bei einigen Ausführungsbeispielen sind einer oder mehrere zusätzliche Ätzstoppschichten ausgebildet.
  • 10 ist eine Querschnittsansicht 1000 einer Halbleiterstruktur während eines Zwischenfertigungsschritts nach einigen Ausführungsbeispielen. Ein zweiter dielektrischer Bereich 120 ist auf dem ESL 210 ausgebildet.
  • 11 ist eine Querschnittsansicht 110 einer Halbleiterstruktur während eines Zwischenfertigungsschritts nach einigen Ausführungsbeispielen. 10 zeigt eine erste Durchkontaktierungsmethode, wobei eine erste Öffnung 1010A durch Durchätzen des zweiten dielektrischen Bereichs 120 zu dem ESL 210. Entsprechend einigen Ausführungsbeispielen wird die erste Durchkontaktierungsöffnung 1010A unter Verwendung von C4F8 als reaktives Ätzmittel in einem Plasmaätzvorgang für zwischen etwa 15 Sekunden bis etwa 45 Sekunden bei einer Temperatur von etwa zwischen 45°C bis etwa 75°C gebildet. In einigen Ausführungsbeispielen wird jedoch auch oder alternativ ein Nassätzen verwendet.
  • 12 ist eine Querschnittsansicht 1200 einer Halbleiterstruktur während einer Zwischenfertigungsstufe nach einigen Ausführungsbeispielen. Eine erste Grabenöffnung 1110 wird in den zweiten dielektrischen Bereich 120 geätzt, um die Bildung eines metallischen Bereichs zu einem späteren Zeitpunkt zu erleichtern. Bei einigen Ausführungsbeispielen wird ein anisotropes Ätzen verwendet, um sich durch den zweiten dielektrischen Bereich 120 zu „graben“ und zum Bilden der ersten Grabenöffnung 1110. Es ist zu berücksichtigen, dass ein Vorgehen mit einem Bilden des Grabens als erstes bei einigen Ausführungsbeispielen verwendet wird, während die erste Grabenöffnung 1110 vor dem Ätzen der ersten Durchkontaktierungsöffnung 1110A erfolgt. Bei einigen Ausführungsbeispielen wird die erste Grabenöffnung 1010 unter Verwendung von C4F8 als ein reaktives Ätzmittel in einem Plasmaätzvorgang für etwa 15 Sekunden bis etwa 45 Sekunden von einer Temperatur zwischen 45°C bis etwa 75°C gebildet. Bei einigen Ausführungsbeispielen kann jedoch auch oder alternativ ein Nassätzen verwendet werden. In 11 ist die erste Öffnung als 1010B bezeichnet, da die erste Grabenöffnung 1110 „über“ einen Teil der ersten Grabenöffnung 1010A von 10 gebildet ist.
  • 13 ist eine Querschnittsansicht 1300 einer Halbleiterstruktur während einer Zwischenfertigungsstufe nach einigen Ausführungsbeispielen. Es ist zu erkennen, dass obwohl die Struktur von 13 im Wesentlichen der Struktur von 2 entspricht, die Strukturen von 1 und 3 entsprechend einiger Ausführungsbeispiele gebildet sind. Beispielsweise erlaubt das Justieren des Drucks und/oder der Temperaturen oder der Chemie, allein oder in Kombination mit anderen Variablen, wenigstens einen Trockenätzprozess, einen Nassätzprozess und/oder dem einen Musterungsprozess zu steuern, um das gewünschte Profil zu erreichen.
  • Bezüglich der in 13 gezeigten Struktur wird bei einigen Ausführungsbeispielen C4F8 als reaktives Gas in einem Plasmaätzvorgang zwischen 15 Sekunden und etwa 45 Sekunden bei einer Temperatur von zwischen etwa 45°C bis etwa 75°C verwendet, um die ESL zu entfernen. Bei einigen Ausführungsbeispielen wird ein Verhältnis von 1 zu 3 von HCl bis H2O2 t für etwa 5 Sekunden bis etwa 15 Sekunden bei einer Temperatur zwischen etwa 30°C und etwa 6o°C verwendet, um den Kappenbereich 130 zu entfernen. Bei einigen Ausführungsbeispielen wird ein Verhältnis von 1 zu 1 von H2O zu HNO3 für zwischen etwa 5 Sekunden und etwa 15 Sekunden bei einer Temperatur von zwischen etwa 20°C bis etwa 6o°C verwendet, um den ersten metallischen Bereich 112 zu entfernen. Zusätzliche oder alternative Techniken werden bei einigen Ausführungsbeispielen verwendet, um ein gewünschtes Profil zu erhalten. Beispielsweise wird bei einigen Ausführungsbeispielen wenigstens entweder ein reaktives Ionenätzen oder ein Ionenbombardieren verwendet, um ein gewünschtes Profil zu erreichen, wie ein Profil mit einer bestimmten Steigung, einem Betrag der Verjüngung einschließlich für die Strukturen, die in den 1 und 3 gezeigt sind. Bei einigen Ausführungsbeispielen wird ein anisotropisches Ätzen verwendet zum Bilden des Profils von 1. Bei einigen Ausführungsbeispielen wird ein isotropes Ätzen verwendet zur Bildung des Profils von 3. Bei einigen Ausführungsbeispielen wird ein Plasmaätzen unter Verwendung von Argon verwendet zur Bildung des in 3 gezeigten Profils. Es ist jedoch wieder zu berücksichtigen, dass verschiedene Techniken verwendet werden können zum Bilden von verschiedenen Profilen. Beispielsweise kann ein Nassätzen, ein Trockenätzen oder ein anderer Musterungsvorgang verwendet werden zur Bildung wenigstens eines der in den 1, 2 oder 3 gezeigten Profile. Beispielsweise können der Druck, die Temperatur oder andere Variable derart gesteuert werden, dass wenigstens eine besondere Ätzselektivität oder Ätzrate erreicht wird zum Erreichen eines gewünschten Profils nach einigen Ausführungsbeispielen.
  • Die Durchkontaktierungsöffnung 1010C oder dessen sich verjüngender Bodenabschnitt 138 werden so gebildet, dass ein Durchkontaktierungsvertiefungsabstand 202 in dem ersten metallischen Bereich 112 gegeben ist. Bei einigen Ausführungsbeispielen beträgt der Durchkontaktierungsvertiefungsabstand 202 zwischen etwa 100 Å bis etwa 500 Å. Der sich verjüngende Bodenabschnitt 138 der Durchkontaktierungsöffnung 1010C hat auch einen Verjüngungsabstand 140, gemessen von einer nicht ansteigenden Seitenwandung 142, die die Durchkontaktierungsöffnung 1010C definiert, zu einem nicht ansteigenden Boden 144 des zweiten dielektrischen Bereichs 112. Bei einigen Ausführungsbeispielen beträgt die Verjüngungsstrecke 140 zwischen etwa 50 Å bis etwa 150 Å. Es ist zu berücksichtigen, dass eine ansteigende oder sich verjüngende Seitenwandung des zweiten dielektrischen Bereichs 112, die den sich verjüngenden Bodenabschnitt 138 definiert, im Allgemeinen in dem Verjüngungsabschnitt 140 liegt. Entsprechend einiger Ausführungsbeispiele wird die sich verjüngende Seitenwand 148 unter einem Winkel von etwa 30°C bis etwa 60°C relativ zu dem nicht ansteigenden Boden des zweiten dielektrischen Bereichs 112 gebildet.
  • Es versteht sich, dass das sich verjüngende Profil vorteilhaft ist gegenüber einem quadratischen oder einem rechteckigen, sich nicht verjüngenden Profil. Beispielsweise erlaubt es das Fehlen von scharfen Ecken in dem sich verjüngenden Profil, eine Grenzschicht oder andere Schichten gleichförmiger und konformer auszubilden mit dem sich verjüngenden Bodenabschnitt 138 der Durchkontaktierungsöffnung 1010C. Beispielsweise kann die Dicke einer Schicht in einem Kantenbereich eines quadratischen oder eines rechteckigen Raums sich unterscheiden von der Dicke der Schicht in anderen Bereichen des Raums. Es versteht sich, dass gleichförmiger gebildete Schichten im Allgemeinen mit einem zuverlässigeren und vorhersagbarem Verhalten begleitet werden. Zusätzlich erlaubt das sich verjüngende Profil das Bilden eines Durchkontaktierungssteckers mit einem entsprechend sich verjüngenden Profil, dass der Durchkontaktierungsstecker weniger Stromclouding, Elektromigration und Spannungsmigration erfährt, die durch physikalische Phänomene, die an den scharfen Kanten auftreten.
  • 14 ist eine Querschnittsansicht 1400 einer Halbleiterstruktur während einer Zwischenfertigungsstufe nach einigen Ausführungsbeispielen. Ein Sperrbereich 150 ist in der ersten Durchkontaktierungsöffnung 1010 und der ersten Grabenöffnung 1110 gebildet. Der Sperrbereich 150 weist TaN, Kobalt usw. auf und ist ausgebildet zum Verhindern von Diffundieren von Metall von dem (nicht gezeigten) Durchkontaktierungsstecker und einem zweiten Metallbereich (nicht gezeigt) in die umgebenden Bereiche wie etwa beispielsweise dem zweiten dielektrischen Bereich 120. Ein Sperrbereich wird in einigen Ausführungsbeispielen durch PVD, CVD oder ALD gebildet und ist im Allgemeinen zwischen etwa 10Å und etwa 100 Å dick.
  • 15 ist eine Querschnittsansicht 1500 einer Halbleiterstruktur während einer Zwischenfertigungsstufe nach einigen Ausführungsbeispielen. Die erste Grabenöffnung 1110 und die erste Durchkontaktierungsöffnung 1010 werden mit Metall gefüllt, etwa Kupfer, um einen zweiten metallischen Bereich 122 und einen Durchkontaktierungsstecker 124 zu bilden. Obwohl zwischen dem zweiten metallischen Bereich 122 und dem Durchkontaktierungsstecker 124 eine gestrichelte Linie gezeigt ist, werden die beiden Regionen als ein einziger, kontinuierlicher Bereich unter Verwendung eines dualen Damascenevorgehen gebildet.
  • Es versteht sich, dass, da der Bodenabschnitt 126 des Durchkontaktierungssteckers 124 in dem sich verjüngenden Bodenabschnitt 138 der Durchkontaktierungsöffnung 1010C gebildet ist, der Bodenabschnitt 126 dieselben oder ähnliche Merkmale oder Charakteristika wie der Bodenabschnitt 138 hat. Beispielsweise hat der Bodenabschnitt 126 des Durchkontaktierungssteckers 124 einen Steckervertiefungsabstand 262 innerhalb des ersten metallischen Bereichs 112 von zwischen etwa 100Å bis etwa 500 Å. Der Bodenabschnitt 126 des Durchkontaktierungssteckers 124 hat einen Steckerkonusabstand 260 von zwischen etwa 50 Å bis etwa 150 Å. Der Bodenabschnitt 126 des Durchkontaktierungssteckers 124 hat eine Steckerneigung oder eine sich verjüngende Seitenwandung, die im Allgemeinen der Steckerverjüngungstrecke 260 entspricht. Die geneigte Seitenwand 248 des Steckers ist mit einem Winkel 250 von etwa 30°C bis etwa 60°C relativ zu der Bodenfläche 264 des Durchkontaktierungssteckers auf dem nicht geneigten Boden 144 des zweiten dielektrischen Bereichs 112 ausgebildet. Es ist zu berücksichtigen, dass der Bodenabschnitt 126 entsprechend einiger Ausführungsbeispiele unterschiedliche Ausbildungen hat und nicht auf die besonderen, nicht dargestellten Beispiele beschränkt ist.
  • Eine oder mehrere Ausführungsbeispiele von Formen oder Systemen zum Bilden einer Halbleiterstruktur werden beschrieben. Generell werden metallische Bereiche einer integrierten Schaltung über Durchkontaktierungen oder durch Kontaktierungsstecker miteinander verbunden. Obwohl ein Kappenbereich, der auf einem metallischen Bereich ausgebildet ist, eine Elektromigration (EM) oder eine Spannungsmigration (SM) verhindert, leidet die elektrische Konnektivität zwischen den elektrischen Bereichen oft aufgrund eines höheren Kontaktwiderstands, der mit dem Kappenbereich verbunden ist. Bei einigen hier vorgeschlagenen Ausführungsbeispielen ist ein Durchkontaktierungsstecker mit einem ersten metallischen Bereich derart ausgebildet, dass der Durchkontaktierungsstecker um wenigstens 250 Å bis 400 Ä in dem ersten metallischen Bereich vertieft ist. Dies erlaubt es dem Durchkontaktierungsstecker, einen direkten Kontakt mit den metallischen Bereichen zu haben, anders als mit dem Kappenbereich. Erfindungsgemäß hat der Bereich des Durchkontaktierungssteckers, der sich in den metallischen Bereich erstreckt, ein sich verjüngendes Profil, was vorteilhaft ist gegenüber sich nicht verjüngenden Profilen. Um eine solche Ausbildung zu erreichen, wird eine Überätzung verwendet zur Bildung einer Durchkontaktierungsöffnung durch den Kappenbereich. Beispielsweise wird ein Ionenbombardieren, ein reaktives Ionenätzen (RIE) oder ein Plasmaätzen usw. verwendet, um einen Kappenbereich über dem ersten metallischen Bereich und etwa dem ersten metallischen Bereich zu entfernen zur Erzeugung einer Vertiefung für eine Durchkontaktierungsöffnung in dem ersten metallischen Bereich. Bei einigen Ausführungsbeispielen wird ein Nassätzen verwendet, um eine Ätzstoppschicht (ESL), den Kappenbereich oder einen Teil des ersten metallischen Bereichs zu entfernen. Beispielsweise weist die Nassätzlösung H2O und HNO3 oder HCL und H2O2 auf. Auf diese Weise verhindert der Kappenbereich EM und SM, und die elektrische Konnektivität zwischen Metallbereichen wird verbessert aufgrund des direkten Kontakts zwischen dem Durchkontaktierungsstecker und dem ersten metallischen Bereich, was erreicht wird durch die Vertiefung in dem ersten metallischen Bereich.
  • Entsprechend einigen Aspekten ist eine Halbleiterstruktur vorgesehen mit einem ersten metallischen Bereich, einem Kappenbereich, einem zweiten metallischen Bereich, einem Durchkontaktierungsstecker und einem Sperrbereich. Der erste metallische Bereich ist wenigstens teilweise innerhalb eines dielektrischen Bereichs. Der Kappenbereich ist oberhalb wenigstens eines Teiles des ersten metallischen Bereichs. Der zweite metallische Bereich ist wenigstens in einem Teil des zweiten dielektrischen Bereichs, wobei der zweite dielektrische Bereich oberhalb wenigstens eines Teiles wenigstens des ersten dielektrischen Bereichs, des Kappenbereichs oder des ersten metallischen Bereichs. Der Durchkontaktierungsstecker erstreckt sich durch den Kappenbereich und ist wenigstens in einem Teil des ersten metallischen Bereichs und des zweiten metallischen Bereichs. Zusätzlich ist der Durchkontaktierungsstecker elektrisch mit dem zweiten metallischen Bereich verbunden und hat ein sich verjüngendes Profil in dem ersten metallischen Bereich. Der Grenzbereich liegt zwischen dem zweiten metallischen Bereich und dem zweiten dielektrischen Bereich und zwischen dem Durchkontaktierungsstecker und dem zweiten dielektrischen Bereich, dem Kappenbereich und dem ersten metallischen Bereich.
  • Nach einigen nicht erfindungsgemäßen Aspekten ist die Halbleiterstruktur mit einem ersten dielektrischen Bereich, einem ersten metallischen Bereich, einem Kappenbereich, einem zweiten dielektrischen Bereich, einer Ätzstoppschicht (ESL), einem zweiten metallischen Bereich, einem Durchkontaktierungsstecker und einem Sperrbereich versehen. Der erste metallische Bereich ist in wenigstens einem Teil des ersten dielektrischen Bereichs. Der Kappenbereich ist oberhalb wenigstens eines Teiles des ersten metallischen Bereichs. Der zweite dielektrische Bereich ist oberhalb wenigstens eines Teiles wenigstens des ersten dielektrischen Bereichs, des Kappenbereichs und des ersten metallischen Bereichs. Die ESL ist zwischen dem ersten dielektrischen Bereich und dem zweiten dielektrischen Bereich angeordnet. Der zweite metallische Bereich ist in wenigstens einem Teil des zweiten dielektrischen Bereich. Der Durchkontaktierungsstecker erstreckt sich durch die ESL und dem Kappenbereich und ist wenigstens in einem Teil des ersten metallischen Bereichs und des zweiten metallischen Bereichs. Zusätzlich ist der Durchkontaktierungsstecker elektrisch mit dem zweiten metallischen Bereich verbunden und hat ein sich verjüngendes Profil in dem ersten metallischen Bereich. Der Sperrbereich liegt zwischen dem zweiten metallischen Bereich und dem zweiten dielektrischen Bereich und zwischen dem Durchkontaktierungsstecker und dem zweiten dielektrischen Bereich, der ESL, dem Kappenbereich und dem ersten metallischen Bereich.
  • Nach einigen Aspekten wird ein Verfahren zum Bilden einer Halbleiterstruktur geschaffen. Das Verfahren weist das Bilden eines ersten metallischen Bereichs in wenigstens einem Teil des dielektrischen Bereichs auf. Das Verfahren weist das Bilden eines Kappenbereichs oberhalb wenigstens eines Teiles des ersten metallischen Bereichs auf. Das Verfahren weist das Bilden einer Ätzstoppschicht (ESL) oberhalb wenigstens eines Teiles wenigstens des Kappenbereichs, des ersten metallischen Bereichs oder des ersten dielektrischen Bereichs auf. Das Verfahren weist das Bilden eines zweiten dielektrischen Bereichs oberhalb wenigstens eines Teiles wenigstens des ersten dielektrischen Bereichs, des Kappenbereichs oder der ESL auf. Das Verfahren weist das Bilden einer ersten Grabenöffnung durch Entfernen wenigstens eines Teiles des zweiten dielektrischen Bereichs auf. Das Verfahren weist das Bilden einer ersten Durchkontaktierungsöffnung in dem ersten metallischen Bereich durch Entfernen wenigstens eines Teiles des zweiten dielektrischen Bereichs, der ESL, des Kappenbereichs oder des ersten metallischen Bereichs auf. Das Verfahren weist das Bilden eines Sperrbereichs in der ersten Grabenöffnung und in der ersten Durchkontaktierungsöffnung auf. Das Verfahren weist das Bilden eines Durchkontaktierungssteckers in der ersten Durchkontaktierungsöffnung auf. Das Verfahren weist das Bilden eines zweiten metallischen Bereichs in der ersten Grabenöffnung auf.
  • Obwohl der Gegenstand in für die strukturellen Merkmale und die Verfahrensschritte in einer spezifischen Ausdrucksweise beschrieben worden ist, versteht es sich, dass der Gegenstand der beiliegenden Ansprüche nicht notwendigerweise auf diese bestimmten Merkmale oder Schritte, die oben beschrieben worden sind, beschränkt ist. Die bestimmten Merkmale und Handlungen, die oben beschrieben worden sind, werden als beispielhafte Ausführungsbeispiele beschrieben.
  • Verschiedene Betriebsweisen von Ausführungsbeispielen werden hier beschrieben. Die Reihenfolge, in der einige oder alle der Vorgänge beschrieben worden sind, soll nicht implizieren, dass diese Vorgänge notwendigerweise von ihrer Reihenfolge abhängig sind und als eine alternative Anordnung ist zu berücksichtigen basierend auf diese Beschreibung. Weiter versteht es sich, dass nicht alle Vorgänge notwendigerweise bei jedem hier vorgeschlagenen Ausführungsbeispiel notwendig sind.
  • Es ist anzuerkennen, dass Bereiche, Merkmale, und Elemente, etwa der erste dielektrische Bereich und der zweite dielektrische Bereich, die Ätzstoppschicht (ESL), die Kappenregion, der Durchkontaktierungsstecker, der Sperrbereich usw. hier in bestimmten Dimensionen relativ zueinander angegeben sind, etwa als strukturelle Dimension oder als Ausrichtungen, beispielsweise zum Zwecke der Vereinfachung und zur Vereinfachung des Verständnisses und dass die tatsächlichen Dimensionen sich erheblich von denjenigen, wie sie dargestellt sind sich in einigen Ausführungsbeispielen unterscheiden. Zusätzlich existieren eine Vielzahl von Techniken zum Bilden der Bereiche, Merkmale, Elemente usw., die hier erwähnt worden sind, wie etwa Implantierungstechniken, Ätztechniken, Dotierungstechniken, Spin-on-Techniken wie Spincoating, Sputteringtechniken, wie Magnetron oder Ionstrahlsputtern, Wachstumstechniken wie thermisches Wachstum oder Ablagerungstechniken wie chemische Dampfablagerung (CVD), physikalische Dampfablagerung (PVD), plasmaverstärkte chemische Dampfablagerung (PECVD) oder atomische Bereichsablagerung (ALD).
  • Der Begriff „beispielhaft“ wird hier verwendet um anzugeben, dass das angegebene Merkmal beispielhaft ist, zur Darstellung usw. dient und nicht notwendigerweise als vorteilhaft angesehen wird. In dieser Beschreibung bedeutet der Begriff „oder“ ein inklusives „oder“, nicht also ein exklusives „oder“. Weiter wird der Begriff „ein“ in dieser Anmeldung allgemein verwendet unter der Bedeutung „eine oder mehrere“ soweit dieses sich nicht weiter anders aus dem Zusammenhang ergibt.
  • Weiter bezeichnet mindestens eines von A oder B und/oder dergleichen im Allgemeinen A oder B oder sowohl A als auch B. Weiter sollen die Begriffe „aufweisen“, „haben“, „hat“, „mit“ oder Varianten davon, wie sie in der eingehenden Beschreibung oder in den Ansprüchen verwendet werden, inklusiv sein in einer Art und Weise ähnlich dem Begriff „beinhalten“.
  • Soweit dies nicht anders angegeben ist, sollen die Begriffe „erster“, „zweiter“ und dergleichen nicht einen zeitlichen Aspekt, einen räumlichen Aspekt oder eine Reihenfolge oder dergleichen aufweisen. Solche Begriffe werden lediglich zur Identifikation oder zur Bezeichnung usw. für Merkmale, Elemente, usw. verwendet. Beispielsweise entspricht ein erster Kanal und ein zweiter Kanal im Allgemeinen einem Kanal A und einem Kanal B oder zwei unterschiedliche oder zwei identische Kanäle oder denselben Kanal.
  • Obwohl die Offenbarung dargestellt und beschrieben worden ist unter Bezugnahme auf eine oder mehrere Implementationen, sind äquivalente Änderungen und Modifikationen basierend auf dem Verständnis dieser Beschreibung und der beiliegenden Zeichnungen möglich.

Claims (16)

  1. Eine Halbleiterstruktur mit: einem ersten metallischen Bereich (112) innerhalb wenigstens eines Teiles eines ersten dielektrischen Bereichs (110), einem Kappenbereich (130) auf wenigstens einem Teil des ersten metallischen Bereichs (112), einem zweiten metallischen Bereich (122) innerhalb wenigstens eines Teiles eines zweiten dielektrischen Bereichs (120), wobei der zweite dielektrische Bereich (120) oberhalb wenigstens eines Teiles wenigstens des ersten dielektrischen Bereichs (110), des Kappenbereichs (130) oder des ersten metallischen Bereichs (112) ist, einem Durchkontaktierungsstecker (124), der den ersten metallischen Bereich (112) und den zweiten metallischen Bereich (122) miteinander verbindet, wobei sich der Durchkontaktierungsstecker (124) von dem zweiten metallischen Bereich (122) durch den Kappenbereich (130) und in den ersten metallischen Bereich (112) erstreckt, und wobei ein Bodenabschnitt (126) des Durchkontaktierungssteckers (124), der sich in den ersten metallischen Bereich (112) erstreckt, ein sich verjüngendes Profil hat, und einem Sperrbereich (150) zwischen dem zweiten metallischen Bereich (122) und dem zweiten dielektrischen Bereich (120) und zwischen dem Durchkontaktierungsstecker (124) und dem zweiten dielektrischen Bereich (120), dem Kappenbereich (130) und dem ersten metallischen Bereich (112), dadurch gekennzeichnet, dass der Kappenbereich (130) innerhalb des ersten dielektrischen Bereichs (110) ist, so dass eine Oberfläche des Kappenbereichs (130) mit einer Oberfläche des ersten dielektrischen Bereichs (110) fluchtet.
  2. Eine Halbleiterstruktur nach Anspruch 1, wobei der Kappenbereich (130) eine Dicke von zwischen etwa 1 nm (10 Å) bis etwa 10 nm (100 Å) hat.
  3. Die Halbleiterstruktur nach einem der Ansprüche 1 oder 2, wobei wenigstens der erste metallische Bereich (112) oder der zweite metallische Bereich (122) Kupfer oder Kupferverbindungen aufweist.
  4. Die Halbleiterstruktur nach einem der vorangehenden Ansprüche, mit einer Ätzstoppschicht (210) zwischen dem ersten dielektrischen Bereich (110) und dem zweiten dielektrischen Bereich (120), wobei sich der Durchkontaktierungsstecker (124) durch die Ätzstoppschicht (210) erstreckt.
  5. Die Halbleiterstruktur nach Anspruch 4, wobei die Ätzstoppschicht (210) Kohlenstoff, Silizium, Stickstoff oder Sauerstoff aufweist.
  6. Die Halbleiterstruktur nach einem der vorangehenden Ansprüche, wobei der Sperrbereich (150) TaN aufweist.
  7. Die Halbleiterstruktur nach einem der vorangehenden Ansprüche, wobei der Bodenabschnitt (126) des Durchkontaktierungssteckers sich zwischen etwa 10 nm bis etwa 50 nm in den ersten metallischen Bereich (112) erstreckt.
  8. Die Halbleiterstruktur nach einem der vorangehenden Ansprüche, wobei der Kappenbereich (130) CoWP aufweist.
  9. Die Halbleiterstruktur nach einem der vorangehenden Ansprüche, wobei eine sich verjüngende Seitenwand des Bodenabschnitts (126) des Durchkontaktierungssteckers einen Winkel zwischen etwa 300 bis etwa 60° relativ zu einer Bodenfläche des Durchkontaktierungssteckers (124) hat.
  10. Die Halbleiterstruktur nach einem der vorangehenden Ansprüche, wobei eine Steckerverjüngungsstrecke des Durchkontaktierungssteckers zwischen etwa 5 nm bis etwa 15 nm beträgt.
  11. Die Halbleiterstruktur nach einem der vorangehenden Ansprüche, wobei der erste dielektrische Bereich (110) aus einem Material mit geringem k-Wert besteht.
  12. Die Halbleiterstruktur nach einem der vorangehenden Ansprüche, wobei der Bodenabschnitt (126) des Kontaktierungssteckers sich zwischen etwa 20 nm bis etwa 40 nm tief in den ersten metallischen Bereich (112) erstreckt.
  13. Die Halbleiterstruktur nach Anspruch 12, wobei eine sich verjüngende Seitenwand des Bodenabschnitts (126) des Durchkontaktierungssteckers einen Winkel von zwischen etwa 40° und etwa 50° relativ zu einer Bodenfläche des Durchkontaktierungssteckers hat.
  14. Die Halbleiterstruktur nach einem der Ansprüche 12 oder 13, wobei eine Steckerverjüngungsstrecke des Durchkontaktierungssteckers zwischen etwa 8 nm bis etwa 12 nm beträgt.
  15. Die Halbleiterstruktur nach einem der Ansprüche 4 bis 14, wobei die Ätzstoppschicht eine Dicke von zwischen etwa 10 nm bis etwa 20 nm hat.
  16. Ein Verfahren zum Bilden einer Halbleiterstruktur mit den Schritten: Bilden eines ersten metallischen Bereichs (112) in wenigstens einem Teil eines ersten dielektrischen Bereichs (110), Bilden eines Kappenbereichs (130) auf wenigstens einem Teil des ersten metallischen Bereichs (112), Bilden einer Ätzstoppschicht (210) oberhalb wenigstens eines Teiles wenigstens des Kappenbereichs (130), des ersten metallischen Bereichs (112) oder des ersten dielektrischen Bereichs (110), Bilden eines zweiten dielektrischen Bereichs (120) oberhalb wenigstens eines Teiles wenigstens des ersten dielektrischen Bereichs (110), des Kappenbereichs (130) oder der Ätzstoppschicht (210), Bilden einer ersten Grabenöffnung (1110) durch Entfernen wenigstens eines Teiles des zweiten dielektrischen Bereichs (120), Bilden einer ersten Durchkontaktierungsöffnung (1010C) in de ersten metallischen Bereich (112) durch Entfernen wenigstens eines Teiles des zweiten dielektrischen Bereichs (120), der Ätzstoppschicht (210), des Kappenbereichs (130) oder des ersten metallischen Bereichs (112), Bilden eines Sperrbereichs (150) zwischen der ersten Grabenöffnung (1110) und der ersten Durchkontaktierungsöffnung (1010C), Bilden eines Durchkontaktierungssteckers (124) in der ersten Durchkontaktierungsöffnung (1010C) und Bilden eines zweiten metallischen Bereichs (122) in der ersten Grabenöffnung (1110), dadurch gekennzeichnet, dass der Kappenbereich (130) innerhalb des ersten dielektrischen Bereichs (110) ist, so dass eine Oberfläche des Kappenbereichs (130) mit einer Oberfläche des ersten dielektrischen Bereichs (110) fluchtet.
DE102013104464.6A 2013-03-15 2013-05-02 Halbleiterstruktur Active DE102013104464B4 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201361800401P 2013-03-15 2013-03-15
US61/800,401 2013-03-15
US13/855,812 2013-04-03
US13/855,812 US10032712B2 (en) 2013-03-15 2013-04-03 Semiconductor structure

Publications (2)

Publication Number Publication Date
DE102013104464A1 DE102013104464A1 (de) 2014-09-18
DE102013104464B4 true DE102013104464B4 (de) 2019-08-29

Family

ID=51418635

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102013104464.6A Active DE102013104464B4 (de) 2013-03-15 2013-05-02 Halbleiterstruktur

Country Status (1)

Country Link
DE (1) DE102013104464B4 (de)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9397045B2 (en) * 2014-10-16 2016-07-19 Taiwan Semiconductor Manufacturing Co., Ltd Structure and formation method of damascene structure
US9842986B2 (en) * 2015-12-15 2017-12-12 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method of forming the same
US11282769B2 (en) 2020-06-11 2022-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Oversized via as through-substrate-via (TSV) stop layer

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060118962A1 (en) * 2004-12-03 2006-06-08 Huang Jui J Damascene interconnect structure with cap layer
US20070202689A1 (en) * 2006-02-27 2007-08-30 Samsung Electronics Co., Ltd. Methods of forming copper vias with argon sputtering etching in dual damascene processes
US20080150138A1 (en) * 2006-12-26 2008-06-26 Lam Research Corporation Process integration scheme to lower overall dielectric constant in BEoL interconnect structures
DE102008021568B3 (de) * 2008-04-30 2010-02-04 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Reduzieren der Erosion einer Metalldeckschicht während einer Kontaktlochstrukturierung in Halbleiterbauelementen und Halbleiterbauelement mit einem schützenden Material zum Reduzieren der Erosion der Metalldeckschicht
DE112010003659T5 (de) * 2009-09-16 2012-10-31 International Business Machines Corporation Leitfähige Struktur für schmale Verbindungsöffnungen

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060118962A1 (en) * 2004-12-03 2006-06-08 Huang Jui J Damascene interconnect structure with cap layer
US20070202689A1 (en) * 2006-02-27 2007-08-30 Samsung Electronics Co., Ltd. Methods of forming copper vias with argon sputtering etching in dual damascene processes
US20080150138A1 (en) * 2006-12-26 2008-06-26 Lam Research Corporation Process integration scheme to lower overall dielectric constant in BEoL interconnect structures
DE102008021568B3 (de) * 2008-04-30 2010-02-04 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Reduzieren der Erosion einer Metalldeckschicht während einer Kontaktlochstrukturierung in Halbleiterbauelementen und Halbleiterbauelement mit einem schützenden Material zum Reduzieren der Erosion der Metalldeckschicht
DE112010003659T5 (de) * 2009-09-16 2012-10-31 International Business Machines Corporation Leitfähige Struktur für schmale Verbindungsöffnungen

Also Published As

Publication number Publication date
DE102013104464A1 (de) 2014-09-18

Similar Documents

Publication Publication Date Title
DE102008016424B4 (de) Verfahren mit einem Bilden einer Kontaktloshöffnung und eines Grabens in einer dielektrischen Schicht mit kleinem ε
DE112007002215B4 (de) Dielektrische Abstandshalter für Metallverbindungen und Verfahren zu ihrer Herstellung
DE102005052052B4 (de) Ätzstoppschicht für Metallisierungsschicht mit verbesserter Haftung, Ätzselektivität und Dichtigkeit und Verfahren zur Herstellung eines dielektrischen Schichtstapels
DE102016114870A1 (de) Halbleiterstruktur und Verfahren zu deren Herstellung
DE102005020132B4 (de) Technik zur Herstellung selbstjustierter Durchführungen in einer Metallisierungsschicht
DE102010029533B3 (de) Selektive Größenreduzierung von Kontaktelementen in einem Halbleiterbauelement
DE102007046846A1 (de) Seitenwandschutzschicht
DE102007020268B3 (de) Halbleiterbauelement und Verfahren zum Verhindern der Ausbildung von elektrischen Kurzschlüssen aufgrund von Hohlräumen in der Kontaktzwischenschicht
DE102012207116A1 (de) Mehrschichtverbindungsstrukturen und Verfahren für integrierte Schaltungen
DE102014110645A1 (de) Hybrid-Kupferstruktur zur Verwendung in fortgeschrittener Verbindung
DE102011085203B4 (de) Herstellungsverfahren für Halbleiterbauelemente mit Durchgangskontakten
DE102004005697B4 (de) Herstellungsverfahren für eine widerstandsfähige Via-Struktur und zugehörige Via-Struktur
EP1859480A1 (de) Herstellung eines traegerscheiben-kontakts in grabenisolierten integrierten soi schaltungen mit hochspannungs-bauelementen
DE102010064289A1 (de) Größenreduzierung von Kontaktelementen und Kontaktdurchführungen in einem Halbleiterbauelement durch Einbau eines zusätzlichen Abschrägungsmaterials
DE102013105635A1 (de) Halbleiterbauelement und Verfahren zu seiner Herstellung
DE102015119536A1 (de) Kondensatoren mit dielektrischen Barriereschichten und Verfahren zu deren Ausbildung
DE102010063780A1 (de) Halbleiterbauelement mit einer Kontaktstruktur mit geringerer parasitärer Kapazität
EP1770726B1 (de) MIM-Kondensator sowie zugehöriges Herstellungsverfahren
DE102011006454A1 (de) Hochspannungs-Halbleiterbauelemente und Verfahren zu deren Herstellung
DE102009006798A1 (de) Verfahren zur Herstellung eines Metallisierungssystems eines Halbleiterbauelements unter Anwendung einer Hartmaske zum Definieren der Größe der Kontaktdurchführung
DE102013104464B4 (de) Halbleiterstruktur
WO2012031845A1 (de) Verfahren zur herstellung eines halbleiterbauelementes mit einer durchkontaktierung und halbleiterbauelement mit durchkontaktierung
DE102007028155B3 (de) Verfahren zum Ausbilden von Durchgangsöffnungen für Kontakte einer integrierten Schaltungsvorrichtung oder für Elektroden einer Speichervorrichtung und integrierte Schaltungsvorrichtung
DE112020003222T5 (de) Zwischenverbindungsanordnung mit vollständig ausgerichteten durchkontakten
DE102008044964B4 (de) Verringerung der Leckströme und des dielektrischen Durchschlags in dielektrischen Materialien von Metallisierungssystemen von Halbleiterbauelementen durch die Herstellung von Aussparungen

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final