CN107026114B - 内连接结构及其形成方法 - Google Patents
内连接结构及其形成方法 Download PDFInfo
- Publication number
- CN107026114B CN107026114B CN201610697872.7A CN201610697872A CN107026114B CN 107026114 B CN107026114 B CN 107026114B CN 201610697872 A CN201610697872 A CN 201610697872A CN 107026114 B CN107026114 B CN 107026114B
- Authority
- CN
- China
- Prior art keywords
- opening
- layer
- dielectric
- via opening
- insulating
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Active
Links
- 238000000034 method Methods 0.000 title claims abstract description 64
- 238000005530 etching Methods 0.000 claims description 33
- 239000006227 byproduct Substances 0.000 claims description 21
- 229910052751 metal Inorganic materials 0.000 claims description 14
- 239000002184 metal Substances 0.000 claims description 14
- 239000000853 adhesive Substances 0.000 claims description 4
- 230000001070 adhesive effect Effects 0.000 claims description 4
- 230000001464 adherent effect Effects 0.000 claims 1
- 239000010410 layer Substances 0.000 description 175
- 229910052581 Si3N4 Inorganic materials 0.000 description 19
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 18
- 239000000463 material Substances 0.000 description 13
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 12
- 230000003667 anti-reflective effect Effects 0.000 description 11
- 238000005229 chemical vapour deposition Methods 0.000 description 10
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 9
- 239000004065 semiconductor Substances 0.000 description 9
- 229910052710 silicon Inorganic materials 0.000 description 9
- 239000010703 silicon Substances 0.000 description 9
- UQZIWOQVLUASCR-UHFFFAOYSA-N alumane;titanium Chemical compound [AlH3].[Ti] UQZIWOQVLUASCR-UHFFFAOYSA-N 0.000 description 8
- 229920002120 photoresistant polymer Polymers 0.000 description 8
- 238000001312 dry etching Methods 0.000 description 7
- 239000010936 titanium Substances 0.000 description 7
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 6
- 238000000231 atomic layer deposition Methods 0.000 description 6
- 239000003989 dielectric material Substances 0.000 description 6
- 229910052757 nitrogen Inorganic materials 0.000 description 6
- 230000015572 biosynthetic process Effects 0.000 description 5
- 150000001875 compounds Chemical class 0.000 description 5
- 230000000873 masking effect Effects 0.000 description 5
- 125000006850 spacer group Chemical group 0.000 description 5
- TXEYQDLBPFQVAA-UHFFFAOYSA-N tetrafluoromethane Chemical compound FC(F)(F)F TXEYQDLBPFQVAA-UHFFFAOYSA-N 0.000 description 5
- XPDWGBQVDMORPB-UHFFFAOYSA-N Fluoroform Chemical compound FC(F)F XPDWGBQVDMORPB-UHFFFAOYSA-N 0.000 description 4
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 4
- 238000000151 deposition Methods 0.000 description 4
- 230000008021 deposition Effects 0.000 description 4
- NFFIWVVINABMKP-UHFFFAOYSA-N methylidynetantalum Chemical compound [Ta]#C NFFIWVVINABMKP-UHFFFAOYSA-N 0.000 description 4
- 229910003468 tantalcarbide Inorganic materials 0.000 description 4
- 229910052715 tantalum Inorganic materials 0.000 description 4
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 4
- 229910052719 titanium Inorganic materials 0.000 description 4
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 3
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 3
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 3
- 239000004020 conductor Substances 0.000 description 3
- 230000007423 decrease Effects 0.000 description 3
- 238000005240 physical vapour deposition Methods 0.000 description 3
- 238000001020 plasma etching Methods 0.000 description 3
- 229910052814 silicon oxide Inorganic materials 0.000 description 3
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 3
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 3
- 229910052721 tungsten Inorganic materials 0.000 description 3
- 239000010937 tungsten Substances 0.000 description 3
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 2
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 2
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 2
- 229910052782 aluminium Inorganic materials 0.000 description 2
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 2
- 239000006117 anti-reflective coating Substances 0.000 description 2
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 2
- IVHJCRXBQPGLOV-UHFFFAOYSA-N azanylidynetungsten Chemical compound [W]#N IVHJCRXBQPGLOV-UHFFFAOYSA-N 0.000 description 2
- 230000004888 barrier function Effects 0.000 description 2
- 239000005380 borophosphosilicate glass Substances 0.000 description 2
- 229910052799 carbon Inorganic materials 0.000 description 2
- 239000010949 copper Substances 0.000 description 2
- 239000002019 doping agent Substances 0.000 description 2
- 238000009713 electroplating Methods 0.000 description 2
- 230000005669 field effect Effects 0.000 description 2
- 239000011572 manganese Substances 0.000 description 2
- 239000001301 oxygen Substances 0.000 description 2
- 229910052760 oxygen Inorganic materials 0.000 description 2
- 239000005360 phosphosilicate glass Substances 0.000 description 2
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 2
- 229920005591 polysilicon Polymers 0.000 description 2
- VSZWPYCFIRKVQL-UHFFFAOYSA-N selanylidenegallium;selenium Chemical compound [Se].[Se]=[Ga].[Se]=[Ga] VSZWPYCFIRKVQL-UHFFFAOYSA-N 0.000 description 2
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 2
- 229910010271 silicon carbide Inorganic materials 0.000 description 2
- 239000012686 silicon precursor Substances 0.000 description 2
- 238000004544 sputter deposition Methods 0.000 description 2
- MTPVUVINMAGMJL-UHFFFAOYSA-N trimethyl(1,1,2,2,2-pentafluoroethyl)silane Chemical compound C[Si](C)(C)C(F)(F)C(F)(F)F MTPVUVINMAGMJL-UHFFFAOYSA-N 0.000 description 2
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 1
- 239000004215 Carbon black (E152) Substances 0.000 description 1
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 1
- VGGSQFUCUMXWEO-UHFFFAOYSA-N Ethene Chemical compound C=C VGGSQFUCUMXWEO-UHFFFAOYSA-N 0.000 description 1
- 239000005977 Ethylene Substances 0.000 description 1
- PWHULOQIROXLJO-UHFFFAOYSA-N Manganese Chemical compound [Mn] PWHULOQIROXLJO-UHFFFAOYSA-N 0.000 description 1
- 108090000699 N-Type Calcium Channels Proteins 0.000 description 1
- 102000004129 N-Type Calcium Channels Human genes 0.000 description 1
- 239000004341 Octafluorocyclobutane Substances 0.000 description 1
- 108010075750 P-Type Calcium Channels Proteins 0.000 description 1
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 1
- 239000004642 Polyimide Substances 0.000 description 1
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 description 1
- 229910005091 Si3N Inorganic materials 0.000 description 1
- -1 SiCOH) Chemical compound 0.000 description 1
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 1
- BQCADISMDOOEFD-UHFFFAOYSA-N Silver Chemical compound [Ag] BQCADISMDOOEFD-UHFFFAOYSA-N 0.000 description 1
- HMDDXIMCDZRSNE-UHFFFAOYSA-N [C].[Si] Chemical compound [C].[Si] HMDDXIMCDZRSNE-UHFFFAOYSA-N 0.000 description 1
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 description 1
- 239000004964 aerogel Substances 0.000 description 1
- 230000004075 alteration Effects 0.000 description 1
- 229910021529 ammonia Inorganic materials 0.000 description 1
- 229910003481 amorphous carbon Inorganic materials 0.000 description 1
- 238000004380 ashing Methods 0.000 description 1
- 230000009286 beneficial effect Effects 0.000 description 1
- 229910052796 boron Inorganic materials 0.000 description 1
- 229910017052 cobalt Inorganic materials 0.000 description 1
- 239000010941 cobalt Substances 0.000 description 1
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 1
- 238000004891 communication Methods 0.000 description 1
- 238000010276 construction Methods 0.000 description 1
- 229910052802 copper Inorganic materials 0.000 description 1
- 230000003247 decreasing effect Effects 0.000 description 1
- 238000009826 distribution Methods 0.000 description 1
- 230000002708 enhancing effect Effects 0.000 description 1
- 239000007789 gas Substances 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- 229910052735 hafnium Inorganic materials 0.000 description 1
- VBJZVLUMGGDVMO-UHFFFAOYSA-N hafnium atom Chemical compound [Hf] VBJZVLUMGGDVMO-UHFFFAOYSA-N 0.000 description 1
- 229930195733 hydrocarbon Natural products 0.000 description 1
- 150000002430 hydrocarbons Chemical class 0.000 description 1
- 230000001939 inductive effect Effects 0.000 description 1
- 239000011810 insulating material Substances 0.000 description 1
- 239000011229 interlayer Substances 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- 229910052748 manganese Inorganic materials 0.000 description 1
- 238000004519 manufacturing process Methods 0.000 description 1
- 239000007769 metal material Substances 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- 239000000203 mixture Substances 0.000 description 1
- 239000002052 molecular layer Substances 0.000 description 1
- 229910052759 nickel Inorganic materials 0.000 description 1
- 150000004767 nitrides Chemical class 0.000 description 1
- BCCOBQSFUDVTJQ-UHFFFAOYSA-N octafluorocyclobutane Chemical compound FC1(F)C(F)(F)C(F)(F)C1(F)F BCCOBQSFUDVTJQ-UHFFFAOYSA-N 0.000 description 1
- 235000019407 octafluorocyclobutane Nutrition 0.000 description 1
- 150000002894 organic compounds Chemical class 0.000 description 1
- 239000011368 organic material Substances 0.000 description 1
- 238000000059 patterning Methods 0.000 description 1
- 229910052698 phosphorus Inorganic materials 0.000 description 1
- 239000011574 phosphorus Substances 0.000 description 1
- 238000005498 polishing Methods 0.000 description 1
- 229920000052 poly(p-xylylene) Polymers 0.000 description 1
- 229920001721 polyimide Polymers 0.000 description 1
- 239000002243 precursor Substances 0.000 description 1
- 230000002265 prevention Effects 0.000 description 1
- 229910052707 ruthenium Inorganic materials 0.000 description 1
- 229910000077 silane Inorganic materials 0.000 description 1
- 239000005368 silicate glass Substances 0.000 description 1
- 150000004760 silicates Chemical class 0.000 description 1
- HWEYZGSCHQNNEH-UHFFFAOYSA-N silicon tantalum Chemical compound [Si].[Ta] HWEYZGSCHQNNEH-UHFFFAOYSA-N 0.000 description 1
- 229910052709 silver Inorganic materials 0.000 description 1
- 239000004332 silver Substances 0.000 description 1
- 238000006467 substitution reaction Methods 0.000 description 1
- UONOETXJSWQNOL-UHFFFAOYSA-N tungsten carbide Chemical compound [W+]#[C-] UONOETXJSWQNOL-UHFFFAOYSA-N 0.000 description 1
- 238000001039 wet etching Methods 0.000 description 1
- 238000009736 wetting Methods 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/5226—Via connections in a multilevel interconnection structure
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76897—Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76807—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
- H01L21/76811—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76807—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
- H01L21/76813—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
- H01L21/76831—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/48—Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
- H01L23/482—Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
- H01L23/485—Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/5329—Insulating materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76804—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Manufacturing & Machinery (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Geometry (AREA)
Abstract
本发明揭露一种内连接结构及其形成方法。内连接结构包含一非绝缘结构、一衬垫层、一介电结构以及一导电结构。衬垫层位于非绝缘结构上并具有一开口于其中。介电结构位于衬垫层上。介电结构包含一通孔开口于其中。导电结构位于介电结构的通孔开口中并通过衬垫层的开口电性连接非绝缘结构,导电结构的至少一部分是沿着从非绝缘结构往介电结构的一方向渐缩。
Description
技术领域
本发明是关于一种半导体元件的内连接结构,且特别是关于内连接结构及其形成方法。
背景技术
半导体集成电路(integrated circuit,IC)工业在过去数十年内历经了快速的成长。受惠于集成电路材料和设计的进步,集成电路一代比一代具有体积更小且元件分布更密集的进步。这些材料及设计的进步可使得相关的制程也随之进步。当最小元件的尺寸降低时,许多挑战会出现。举例来说,导线与介电材料的内连接结构可利于连接晶体管及其他元件,故此内连接结构在集成电路性能的提升上扮演重要的角色。
发明内容
在一些实施方式中,内连接结构包含一非绝缘结构、一衬垫层、一介电结构以及一导电结构。衬垫层位于非绝缘结构上并具有一开口于其中。介电结构位于衬垫层上。介电结构包含一通孔开口于其中。导电结构位于介电结构的通孔开口中并通过衬垫层的开口电性连接非绝缘结构,导电结构的至少一部分是沿着从非绝缘结构往介电结构的一方向渐缩。
在一些实施方式中,内连接结构包含一非绝缘结构、一衬垫层、一介电结构以及一导电结构。衬垫层位于非绝缘结构上并具有一开口于其中。介电结构位于衬垫层上。介电结构包含一通孔开口于其中。导电结构位于介电结构的通孔开口中并通过衬垫层的开口电性连接非绝缘结构。导电结构包含一第一部分以及一第二部分。第二部分是位于第一部分与非绝缘结构之间,其中第二部分的宽度变化大于第一部分的宽度变化。
在一些实施方式中,一种内连接结构的形成方法包含形成一衬垫层于一非绝缘结构上,形成一介电结构于衬垫层上,形成一通孔开口贯穿介电结构并进入衬垫层中使得通孔开口的至少一部分是沿着从非绝缘结构往介电结构的一方向渐缩、以及形成一导电结构于通孔开口中,其中导电结构是电性连接非绝缘结构。
附图说明
本发明的实施方式可从以下的详细说明及随附的附图理解。值得注意的是,根据产业上的实际应用,各个特征并未按照比例绘制,事实上,各个特征的尺寸可以任意的放大或缩小,以利清楚地说明。
图1至图8是根据本发明一些实施方式的用于制造内连接结构的方法在各个阶段下的剖面图。
具体实施方式
以下提供本发明的多种不同的实施方式或实施例,以实现本发明的不同技术特征。元件的实施方式和配置是如下所述以简化本发明。当然,这些叙述仅为示例,而非用以限制本发明。举例而言,第一特征是形成于第二特征上的叙述可包括第一特征与第二特征是直接接触的实施方式,亦可包括额外特征形成于第一与第二特征之间的实施方式,使得第一特征与第二特征可非直接接触。此外,本发明可重复地使用元件符号于多个实施方式中。此重复是为了简洁,并非用以讨论各个实施方式及/或配置之间的关系。
另外,空间相对用语,如“下”、“下方”、“低”、“上”、“上方”等,是用以方便描述一元件或特征与其他元件或特征在附图中的相对关系。除了附图中所示的方位以外,这些空间相对用语亦可用来帮助理解元件在使用或操作时的不同方位。当元件被转向其他方位(例如旋转90度或其他方位)时,本文所使用的空间相对叙述亦可帮助理解。
图1至图8是根据本发明一些实施方式的用于制造内连接结构的方法在各个阶段下的剖面图。参照图1,衬垫层104是形成于非绝缘结构102上。非绝缘结构102是指由一或更多种非绝缘材料、多晶硅、金属、导电材料、半导体材料或上述的组合形成的结构。非绝缘结构102可做为半导体元件,诸如鳍式场效晶体管(fin field effect transistor;FinFET),的栅极电极、源极/漏极区域。
在一些实施方式中,当非绝缘结构102做为鳍式场效晶体管的栅极电极时,可通过后栅极制程形成非绝缘结构102。示例性后栅极制程可包括在半导体鳍片上形成虚设栅极结构,此虚设栅极结构可包括诸如多晶硅等材料;在虚设栅极结构旁形成间隔物,此间隔物可包括诸如氧化硅、氮化硅、氧氮化硅、碳化硅等材料;移除虚设栅极结构以在间隔物之间形成沟槽;及在间隔物之间的沟槽中形成至少一金属层以形成非绝缘结构102。金属层可包括适于形成栅极电极或栅极电极的一部分的金属材料,此金属材料可包括功函数层、衬垫层、介面层、晶种层、粘着层及阻障层等等。在一些实施方式中,金属层可包括适宜金属,诸如氮化钛(TiN)、氮化钨(WN)、氮化钽(TaN)或钌(Ru),其可用于p型鳍式场效晶体管中。在其他实施方式中,金属层可包括适宜金属,诸如钛Ti、银(Ag)、铝(Al)、钛铝(TiAl)、氮化钛铝(TiAlN)、碳化钛铝(TiAlC)、碳氮化钛铝(TiAlCN)、碳化钽(TaC)、碳氮化钽(TaCN)、氮硅化钽(TaSiN)、锰(Mn)或锆(Zr),其可用于n型鳍式场效晶体管中。
在一些实施方式中,当非绝缘结构102做为源极/漏极区域时,示例性形成制程可包括通过使用离子植入将n型掺杂物(诸如磷)或p型掺杂物(诸如硼)掺入未被间隔物覆盖的半导体鳍片及栅极的至少一部分中。形成源极/漏极区域的另一示例性制程可包括在邻近于间隔物的鳍片中形成至少一源极/漏极凹陷,在源极/漏极凹陷中形成晶种层,在源极/漏极凹陷中的晶种层上形成松弛磊晶层,在源极/漏极凹陷中的松弛磊晶层上形成磊晶层,使得晶种层、松弛磊晶层及磊晶层形成源极/漏极应力源(stressor)以做为源极/漏极区域。在一些实施方式中,源极/漏极应力源包括例如磷化硅(SiP)或碳磷化硅(SiCP),此应力源能够诱发半导体鳍片中的n型通道的拉应变。在一些其他实施方式中,源极/漏极应力源包括硅锗(SiGe),此应力源能够诱发半导体鳍片中的p型通道的压应变。
衬垫层104可做为蚀刻终止层,蚀刻终止层在形成通孔开口及/或沟槽开口的蚀刻制程期间,可保护非绝缘结构102。在一些实施方式中,衬垫层104可包括介电材料,诸如碳化硅、氮化硅或掺碳氮化硅。在一些实施方式中,衬垫层104可包括导电材料,诸如钛(Ti)、氮化钛(TiN)、碳化钛(TiC)、碳氮化钛(TiCN)、钽(Ta)、氮化钽(TaN)、碳化钽(TaC)、碳氮化钽(TaCN)、钨(W)、氮化钨(WN)、碳化钨(WC)、碳氮化钨(WCN)、钛铝(TiAl)、氮化钛铝(TiAlN)、碳化钛铝(TiAlC)或碳氮化钛铝(TiAlCN)。在一些实施方式中,可使用化学气相沉积(chemical vapor deposition;CVD)、高密度等离子(high density plasma;HDP)CVD、次大气压CVD(sub-atmospheric CVD;SACVD)、分子层沉积(molecular layer deposition;MLD)、溅镀、物理气相沉积(physical vapor deposition;PVD)、电镀或其他适宜技术,来沉积衬垫层104。举例而言,在一些实施方式中,可在小于约10毫托的压力下及在自约350℃至约500℃的温度范围内实施MLD制程。在一些实施方式中,通过使硅源化合物与氮源反应在非绝缘结构102的顶表面上沉积氮化硅。硅源化合物提供硅至所沉积的氮化硅且可包括硅烷(SiH4)或四乙氧基硅烷(tetrathoxysilane;TEOS)。氮源提供氮至所沉积的氮化硅且可包括氨气(NH3)或氮气(N2)。在一些其他实施方式中,通过反应碳源化合物、硅源化合物与氮源反应以在非绝缘结构102的顶表面上沉积掺碳氮化硅。碳源化合物可包括有机化合物,诸如碳氢化合物(例如,乙烯(C2H6))。
参照图2,介电结构106是形成于衬垫层104上。换句话说,衬垫层104是位于介电结构106与非绝缘结构102之间。介电结构106可为包括介电材料的层间介电(interlayerdielectric;ILD)层。介电材料可包括四乙氧基硅烷(TEOS)、超低k(extreme low-k;ELK)介电材料、无氮抗反射涂层(nitrogen-free anti-reflective coating;NFARC)、氧化硅、氮化硅、氧氮化硅、磷硅玻璃(phosphosilicate glass;PSG)、硼磷硅玻璃(borophosphosilicate glass;BPSG)、旋涂玻璃(spin-on glass;SOG)、氟化硅玻璃(fluorinated silica glass;FSG)、掺碳氧化硅(例如,SiCOH)、干凝胶、气凝胶、非晶氟化碳、聚对二甲苯基、双苯并环丁烯(BCB)、聚酰亚胺及/或上述的组合。ELK介电材料具有小于例如约2.5的介电常数。可理解到,介电结构106可包括一或更多种介电材料及/或一或更多个介电层。在一些实施方式中,介电结构106可通过CVD、HDP CVD、SACVD、旋涂、溅射或其他适宜技术沉积在衬垫层104上。
参照图3,抗反射层108是形成于介电结构106上。抗反射层108可由有机材料、氧化材料、氮化材料或类似者形成。抗反射层108可通过使用适宜技术(诸如CVD)来形成。
蚀刻制程可执行于介电结构106以在介电结构106中形成凹陷110,且衬垫层104并未暴露于凹陷110中。换句话说,可下挖介电结构106直到抵达位于衬垫层104上方的水平。换句话说,此蚀刻制程不会蚀刻穿介电结构106而暴露出衬垫层104。因此,在这个阶段,衬垫层104不会被蚀刻或伤害,故在此蚀刻过程中,不会产生蚀刻衬垫层104所造成的副产物。是以,在此蚀刻过程中,没有副产物会粘着至凹陷110的内表面112上。凹陷110的示例性形成方式是如下所述。可在介电结构106上方形成光阻层(未图示),并接着图案化光阻层以形成具有凹陷110的影像的光阻遮罩。使用光阻层做为遮罩,随后将凹陷110的图案蚀刻至介电结构106中。在蚀刻制程后,通过例如氧等离子灰化等制程移除光阻遮罩。形成凹陷110的蚀刻制程可在衬垫层104被暴露之前终止,使得在此步骤中,介电结构106的一部分是保留于凹陷110与衬垫层104之间。此介电结构106的保留部分可保护衬垫层104免于被蚀刻或破坏,因而避免蚀刻衬垫层104所产生的副产物粘着至凹陷110的内表面112。在一些实施方式中,蚀刻制程可为干式蚀刻、湿式蚀刻及/或等离子蚀刻制程。形成凹陷110的蚀刻制程可采用四氟甲烷(CF4)、三氟甲烷(CHF3)及氧的混合物做为蚀刻气体。
遮罩层114是形成于抗反射层108上。遮罩层114具有开口116。开口116曝露抗反射层108的一部分,使得蚀刻制程可执行于抗反射层108的曝露部分及其下方的部分介电结构106,以便在后续步骤中形成沟槽开口。在一些实施方式中,遮罩层114可为硬遮罩,诸如氮化硅(Si3N4)。硬遮罩114与抗反射层108及介电结构106相比具有相对较高的抗蚀刻性。硬遮罩的形成可通过如CVD等制程所实现。在一些其他实施方式中,遮罩层114可为光阻层。遮罩层114的形成包括在抗反射层108上形成光阻层及随后图案化光阻层,以形成具有开口116的影像的光阻遮罩。
参照图4。抗粘层118是至少形成于凹陷110的内表面112上。更详细地说,抗粘层118可共形地形成于遮罩层114的顶面及侧面、抗反射层108的顶面及侧面、及凹陷110的内表面112。具体来说,凹陷110的内表面112包含底面112B以及侧面112L。侧面112L连接底面112B以及介电结构106的顶面。在一些实施方式中,整个侧面112L与整个底面112B是被抗粘层118所覆盖。抗粘层118与介电结构106是由具有不同粘着特性的不同材料所形成的。更详细地说,抗粘层118与介电结构106对于蚀刻衬垫层104所产生的副产物的粘性不同。具体来说,抗粘层118与介电结构106包含不同材料使得抗粘层118对于蚀刻衬垫层104所产生的副产物的粘性,比介电结构106对于蚀刻衬垫层104所产生的副产物的粘性更弱。因此,当在后续制程中蚀刻衬垫层104时,凹陷110的整个内表面112上的抗粘层118可避免副产物粘着至内表面112,故后续形成的通孔开口不会因为粘着至内表面112的副产物而缩小。本文所述的“对于副产物的粘性”可代表一表面的单位面积可粘着的副产物的总量。更详细地说,“抗粘层118对于副产物的粘性”可代表抗粘层118的表面的单位面积可粘着的副产物的总量。相似地,“介电结构106对于副产物的粘性”可代表介电结构106的表面的单位面积可粘着的副产物的总量。在一些实施方式中,抗粘层118的材料为介电的,例如:氮化硅(SiN)或氧氮化硅(SiON)。介电结构106的材料是不同于抗粘层118的材料。举例来说,介电结构106包含四乙氧基硅烷(TEOS)、超低k(extreme low-k;ELK)介电材料、无氮抗反射涂层(nitrogen-free anti-reflective coating;NFARC)。通过抗粘层118与介电结构106的材料差异,抗粘层118可展现出比介电结构106较弱的粘性。在一些实施方式中,抗粘层118是共形地形成于凹陷110的内表面112上。抗粘层118的形成可通过原子层沉积(atomic layer deposition;ALD)制程或CVD制程来实现。举例而言,抗粘层118可为通过ALD形成的氮化硅层。形成氮化硅层的ALD包括多个氮化硅沉积循环。每个氮化硅沉积循环可包括使遮罩层114、抗反射层108及凹陷110的内表面112与硅前驱物接触,使得硅前驱物吸附于这些表面上,并使这些表面与氮前驱物接触。氮化硅沉积循环可重复地执行以形成预设厚度及成分的氮化硅层。这样的方式下所形成的氮化硅层可做为共形地形成于凹陷110的内表面112上的抗粘层118。
参照图5,部分抗粘层118、部分抗反射层108与部分介电结构106被移除,以形成通孔开口O1与沟槽开口O2于介电结构106中。通孔开口O1是位于沟槽开口O2与衬垫层104之间,通孔开口O1与沟槽开口O2是连通的。更详细地说,位于图4中的凹陷110下方的部分介电结构106被移除以形成暴露衬垫层104的通孔开口O1。换句话说,在形成抗粘层118后,通孔开口O1是被加深直到抵达衬垫层104。此外,此部分介电结构106的移除可伴随着沟槽开口O2的形成。换句话说,在移除位于凹陷110下方的部分介电结构106的过程中,位于遮罩层114的开口116下方的另一部分的介电结构106也会被移除。因此,通孔开口O1与沟槽开口O2可在同一道制程中形成。在此移除的过程中,遮罩层114可避免其下方的部分介电结构106被移除。换句话说,沟槽开口O2的形成为移除未被遮罩层114所覆盖的部分介电结构106。此移除制程可为蚀刻制程,诸如非等向性蚀刻制程。非等向性蚀刻制程可为例如基于四氟化碳(CF4)或基于八氟环丁烷(C4F8)的干式蚀刻制程。
图5中所执行的移除制程形成沟槽开口O2下方的通孔开口O1的侧壁120。在形成通孔开口O1与沟槽开口O2之后,抗粘层118的一部分会留在侧壁120的至少一部分上并做为介电通孔衬垫,以避免在后续蚀刻衬垫层104的制程中,副产物粘着至侧壁120。借此,可防止蚀刻衬垫层104所产生的副产物缩小通孔开口O1的尺寸。
在部分实施方式中,由于暴露衬垫层104的蚀刻制程是在形成抗粘层118之后所执行的,通孔开口O1的下区域会在形成抗粘层118之后形成。因此,抗粘层118不位于通孔开口O1的下区域。换句话说,抗粘层118是位于侧壁120的一部分上。更详细地说,侧壁120包含上部分122以及下部分124。下部分124是位于上部分122与非绝缘结构102之间。换句话说,上部分122是位于下部分124与沟槽开口O2之间。抗粘层118是位于上部分122上并不位于下部分124上。换句话说,上部分122是被抗粘层118所覆盖,而下部分124则不被抗粘层118所覆盖。换句话说,抗粘层118是位于侧壁120的最靠近沟槽开口O2的部分上。更详细地说,在形成通孔开口O1之后,图4中的凹陷110的侧面112L的最低部分会留在介电结构106中并做为侧壁120的上部分122,而位于图4中的凹陷110的侧面112L的最低部分上的部分抗粘层118也会留在介电结构106中。在一些实施方式中,由于抗粘层118是共形地形成在侧面112L上(例如通过ALD制程),抗粘层118与侧壁120的上部分122是共形的。
在一些实施方式中,如图5所示,由于在图5所执行的蚀刻制程中,上部分122上的抗粘层118可做为保护其下方的介电结构106的遮罩,侧壁120的上部分122与下部分124是不互相对齐的。更详细地说,从上部分122至通孔开口O1的中心轴的距离,是大于从下部分124至通孔开口O1的中心轴的距离。换句话说,侧壁120具有凹陷126于其上。凹陷126是靠近沟槽开口O2。抗粘层118是位于凹陷126中,或更具体地,抗粘层118填满凹陷126。
参照图6。位于通孔开口O1下方的部分衬垫层104被移除以形成开口O3,从而允许非绝缘结构102被通孔开口O1所暴露。此衬垫移除制程可被执行而使得通孔开口O1的至少一部分会沿着从介电结构106至非绝缘结构102的方向扩大。具体来说,通孔开口O1会被加深及扩大,直到移除通孔开口O1下方的部分衬垫层104,从而形成暴露出非绝缘结构102的开口O3。这样被扩大的通孔开口O1可增加非绝缘结构102与后续形成于通孔开口O1中的导体的接触面积,因而能够降低接触电阻。换句话说,通孔开口O1的至少一部分是沿着从非绝缘结构102往介电结构106的方向渐缩。更具体地说,通孔开口O1包含相连通的顶开口O4以及渐缩开口O5。渐缩开口O5是位于顶开口O4与非绝缘结构102之间。渐缩开口O5是沿着从非绝缘结构102往顶开口O4的方向渐缩。换句话说,渐缩开口O5是沿着从介电结构106往非绝缘结构102的方向扩大。在一些实施方式中,抗粘层118是位于顶开口O4中并不位于渐缩开口O5中。换句话说,渐缩开口O5中并不存在抗粘层118。由于抗粘层118与介电结构106具有不同蚀刻特性,衬垫移除制程所造成的渐缩开口O5与顶开口O4的形状改变会不同。更具体地说,在一些实施方式中,由于抗粘层118与介电结构106具有不同蚀刻选择性,顶开口O4的宽度变化小于渐缩开口O5的宽度变化。换句话说,在蚀刻衬垫层104的过程中,抗粘层118具有比介电结构106更高的抗蚀刻性,从而避免抗粘层118被蚀刻衬垫层104的制程所伤害。因此,具有抗粘层118于其中的顶开口O4的宽度变化会小于渐缩开口O5的宽度变化。在一些实施方式中,顶开口O4不会渐缩而渐缩开口O5会渐缩。换句话说,渐缩开口O5的宽度可沿着从非绝缘结构102朝向顶开口O4的方向减少,而顶开口O4具有实质上固定的宽度。
在一些实施方式中,衬垫层104的开口O3暴露出非绝缘结构102。由于抗粘层118对于蚀刻衬垫层104的开口O3所产生的副产物的粘性,比介电结构106的通孔开口O1的侧壁120对于此副产物的粘性更弱,故在衬垫移除制程中,侧壁120上的抗粘层118可避免蚀刻衬垫层104的开口O3所产生的副产物粘着至通孔开口O1的侧壁120,因此可避免通孔开口O1的尺寸在移除部分衬垫层104后降低。此外,由于抗粘层118与介电结构106具有不同蚀刻特性,其可允许抗粘层118具有比介电结构106更高的抗蚀刻性,因此蚀刻开口O3对于抗粘层118所造成的伤害可被降低,故在衬垫移除制程后,通孔开口O1的顶开口O4的尺寸不会降低。在部分实施方式中,非绝缘结构102包含金属,抗粘层118对于金属的粘性比介电结构106的通孔开口O1的侧壁120对于金属的粘性更弱,故侧壁120上的抗粘层118可避免过蚀刻(over-etching)非绝缘结构102所产生的副产物粘着至侧壁120上。
在一些实施方式中,位于通孔开口O1下方的部分衬垫层104可通过等向性干蚀刻制程所移除。这样的等向性干蚀刻制程可助于通孔开口O1的下区域沿着从介电结构106往非绝缘结构102的方向扩大。在一些实施方式中,等向性干蚀刻制程可为等向性的反应性离子蚀刻(Reactive-Ion Etching;RIE)制程,其可利用三氟甲烷(CHF3)做为对衬垫层104的蚀刻剂。等向性干蚀刻制程可具有高选择性使得此干蚀刻制程可终止于非绝缘结构102。因此,可减少非绝缘结构102产生不必要的蚀刻。衬垫层104可有益于使非绝缘结构102不具有由形成通孔开口O1及沟槽开口O2的蚀刻制程所造成的凹陷,从而增强半导体元件效能。在此衬垫移除制程后,位于通孔开口O1外的另一部分衬垫层104可保留于介电结构106与非绝缘结构102之间。由于抗粘层118不位于侧壁120的下部分124,下部分124可被塑形为沿着衬垫层104的开口O3往通孔开口O1的顶开口O4的方向渐缩。此外,抗粘层118不接触剩余衬垫层104。换句话说,抗粘层118与剩余衬垫层104是分离的。更具体地说,抗粘层118与剩余衬垫层104是被介电结构106的侧壁120的下部分124所分离的。
参照图7,导电结构128是形成于通孔开口O1与沟槽开口O2中,并通过衬垫层104的开口O3电性连接非绝缘结构102。抗粘层118是位于通孔开口O1的侧壁120与导电结构128之间。在一些实施方式中,抗粘层118是位于侧壁120的上部分122与导电结构128之间。更详细地说,抗粘层118接触侧壁120的上部分122与导电结构128。通过这样的设计,侧壁120的上部分122与导电结构128可被抗粘层118所分离。换句话说,侧壁120的上部分122不接触导电结构128。由于抗粘层118不位于侧壁120的下部分124,下部分124会接触导电结构128。换句话说,抗粘层118与侧壁120的下部分124是分别位于导电结构128的不同部分上。
在一些实施方式中,导电结构128的至少一部分填满渐缩开口O5,故此部分的导电结构128可与侧壁120的下部分124共形。换句话说,导电结构128的至少一部分是沿着从非绝缘结构102往介电结构106的方向渐缩。换句话说,此部分的导电结构128是朝向非绝缘结构102扩大的,故可增加导电结构128与非绝缘结构102的接触面积,从而降低接触电阻。更详细地说,导电结构128包含第一部分130以及第二部分132。第二部分132是位于第一部分130与非绝缘结构102之间。抗粘层118不位于侧壁120的下部分124与导电结构128的第二部分132之间,使得第二部分132可为渐缩部,其沿着从非绝缘结构102往介电结构106的方向渐缩。换句话说,导电结构128的第二部分132是共形地位于通孔开口O1渐缩开口O5中。在一些实施方式中,抗粘层118是位于侧壁120的上部分122与导电结构128的第一部分130之间,由于第一部分130所在的抗粘层118相较于介电结构106具有较高的抗蚀刻性,故第一部分130的宽度变化是低于第二部分132的宽度变化。于一些实施方式中,第一部分130不渐缩而第二部分132渐缩。更具体来说,第二部分132的宽度是沿着从非绝缘结构102朝向第一部分130的方向降低,而第一部分130具有实质上固定的宽度。
在一些实施方式中,导电结构128包括氮化钛(TiN)、氮化钽(TaN)、钽(Ta)、钛(Ti)、铪(Hf)、锆(Zr)、镍(Ni)、钨(W)、钴(Co)、铜(Cu)或铝(Al)。在一些实施方式中,可通过CVD、PVD、电镀、ALD或其他适宜技术形成导电结构128。在一些实施方式中,导电结构128可包括迭层。迭层可进一步包括阻障金属层、衬垫金属层或湿润金属层。另外,导电结构128的厚度取决于通孔开口O1及沟槽开口O2的深度。导电结构128是被沉积直到实质上填满或过度填充通孔开口O1及沟槽开口O2。
在一些实施方式中,当导电结构128过度填充沟槽开口O2时,导电结构128可进一步包含沟槽填充部134与过度填充部136。沟槽填充部134连接并位于第一部分130与过度填充部136之间。沟槽填充部134填满沟槽开口O2。第一部分130与第二部分132填满通孔开口O1并通过衬垫层104的开口O3接触非绝缘结构102。过度填充部136过度填充沟槽开口O2并覆盖遮罩层114。
参照图8,在填充通孔开口O1及沟槽开口O2后,可执行化学机械研磨(chemical-mechanical polishing;CMP)制程以平坦化导电结构128。CMP制程可移除沟槽开口O2外的导电结构128的过度填充部136,当CMP制程抵达介电结构106时,可终止CMP制程并因而提供实质平坦表面。CMP制程移除抗反射层108及遮罩层114。
在一些实施方式中,导电结构的至少一部分是沿着从非绝缘结构往介电结构的方向渐缩。换句话说,导电结构朝向非绝缘结构扩大。因此,导电结构与非绝缘结构的接触面积可被增加,从而降低接触电阻。
上文概述若干实施方式的特征,使得熟悉此项技术者可更好地理解本发明的态样。熟悉此项技术者应了解,可轻易使用本发明作为设计或修改其他制程及结构的基础,以便实施本文所介绍的实施方式的相同目的及/或实现相同优势。熟悉此项技术者亦应认识到,此类等效结构并未脱离本发明的精神及范畴,且可在不脱离本发明的精神及范畴的情况下产生本文的各种变化、替代及更改。
Claims (16)
1.一种内连接结构,其特征在于,包含:
一非绝缘结构;
一衬垫层,位于该非绝缘结构上并具有一开口于其中;
一介电结构,位于该衬垫层上,该介电结构包含一通孔开口于其中;以及
一导电结构,位于该介电结构的该通孔开口中并通过该衬垫层的该开口电性连接该非绝缘结构,该导电结构的至少一部分是沿着从该非绝缘结构往该介电结构的一方向渐缩,该导电结构包含一渐缩部,该渐缩部是沿着从该非绝缘结构往该介电结构的该方向渐缩;以及
一抗粘层,位于该通孔开口的一侧壁与该导电结构之间,该抗粘层不位于该通孔开口的该侧壁与该渐缩部之间。
2.根据权利要求1所述的内连接结构,其特征在于,该导电结构包含一第一部分以及一第二部分,该第二部分是位于该第一部分与该非绝缘结构之间,该第一部分的宽度变化是小于该第二部分的宽度变化,该抗粘层是位于该通孔开口的该侧壁与该第一部分之间。
3.根据权利要求1所述的内连接结构,其特征在于,该抗粘层与该介电结构具有不同的蚀刻特性。
4.根据权利要求1所述的内连接结构,其特征在于,该抗粘层对于蚀刻该衬垫层的该开口所产生的副产物的粘性,比该介电结构的该通孔开口的该侧壁对于该副产物的粘性更弱。
5.根据权利要求1所述的内连接结构,其特征在于,该非绝缘结构包含金属,该抗粘层对于该金属的粘性比该介电结构的该通孔开口的该侧壁对于该金属的粘性更弱。
6.根据权利要求1所述的内连接结构,其特征在于,该介电结构的该通孔开口的至少一部分是沿着从该非绝缘结构往该介电结构的该方向渐缩。
7.根据权利要求1所述的内连接结构,其特征在于,该通孔开口包含一顶开口以及一渐缩开口,该渐缩开口是位于该顶开口与该非绝缘结构之间,该渐缩开口是沿着从该非绝缘结构往该顶开口的一方向渐缩。
8.根据权利要求7所述的内连接结构,其特征在于,还包含:
一抗粘层,位于该顶开口中并不位于该渐缩开口中。
9.一种内连接结构,其特征在于,包含:
一非绝缘结构;
一衬垫层,位于该非绝缘结构上并具有一开口于其中;
一介电结构,位于该衬垫层上,该介电结构包含一通孔开口于其中;
一导电结构,位于该介电结构的该通孔开口中并通过该衬垫层的该开口电性连接该非绝缘结构,该导电结构包含一第一部分以及一第二部分,该第二部分是位于该第一部分与该非绝缘结构之间,其中该第二部分的宽度变化大于该第一部分的宽度变化;以及
一介电通孔衬垫,位于该导电结构的该第一部分与该介电结构之间。
10.根据权利要求9所述的内连接结构,其特征在于,该第二部分是沿着从该非绝缘结构往该介电结构的一方向渐缩。
11.根据权利要求9所述的内连接结构,其特征在于,该介电通孔衬垫不位于该导电结构的该第二部分与该介电结构之间。
12.根据权利要求9所述的内连接结构,其特征在于,该通孔开口的至少一部分是沿着从该非绝缘结构往该介电结构的一方向渐缩,该导电结构的该第二部分是位于该通孔开口的该部分中。
13.一种内连接结构的形成方法,其特征在于,包含:
形成一衬垫层于一非绝缘结构上;
形成一介电结构于该衬垫层上;
形成一通孔开口贯穿该介电结构并进入该衬垫层中使得该通孔开口的至少一部分是沿着从该非绝缘结构往该介电结构的一方向渐缩,其中该形成该通孔开口包含:
形成该通孔开口于该介电结构中;
形成一抗粘层于该通孔开口的至少一侧壁上;以及
加深并扩大该通孔开口,直到移除该通孔开口下方的该衬垫层的一部分;以及
形成一导电结构于该通孔开口中,其中该导电结构是电性连接该非绝缘结构。
14.根据权利要求13所述的内连接结构的形成方法,其特征在于,该抗粘层对于移除该衬垫层的该部分的副产物的粘性,比该介电结构的该通孔开口的该侧壁对该副产物的粘性更弱。
15.根据权利要求13所述的内连接结构的形成方法,其特征在于,该抗粘层与该介电结构具有不同蚀刻特性使得该通孔开口的不同部分在该加深并扩大该通孔开口后,具有不同的宽度变化。
16.根据权利要求13所述的内连接结构的形成方法,其特征在于,还包含:
在该形成该抗粘层后,形成一沟槽开口于该介电结构中,其中该沟槽开口连通该通孔开口。
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201662289137P | 2016-01-29 | 2016-01-29 | |
US62/289,137 | 2016-01-29 | ||
US15/131,608 | 2016-04-18 | ||
US15/131,608 US9780026B2 (en) | 2016-01-29 | 2016-04-18 | Interconnection structure and method of forming the same |
Publications (2)
Publication Number | Publication Date |
---|---|
CN107026114A CN107026114A (zh) | 2017-08-08 |
CN107026114B true CN107026114B (zh) | 2020-04-07 |
Family
ID=59386205
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN201610697872.7A Active CN107026114B (zh) | 2016-01-29 | 2016-08-22 | 内连接结构及其形成方法 |
Country Status (3)
Country | Link |
---|---|
US (2) | US9780026B2 (zh) |
CN (1) | CN107026114B (zh) |
TW (1) | TWI614868B (zh) |
Families Citing this family (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR102606765B1 (ko) * | 2018-02-07 | 2023-11-27 | 삼성전자주식회사 | 비아 플러그를 갖는 반도체 소자 및 그 형성 방법 |
US10811309B2 (en) | 2018-12-04 | 2020-10-20 | Nanya Technology Corporation | Semiconductor structure and fabrication thereof |
US11302629B2 (en) * | 2020-02-19 | 2022-04-12 | Nanya Technology Corporation | Semiconductor device with composite passivation structure and method for preparing the same |
US11195752B1 (en) * | 2020-05-29 | 2021-12-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method of forming same |
Citations (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5883002A (en) * | 1996-08-29 | 1999-03-16 | Winbond Electronics Corp. | Method of forming contact profile by improving TEOS/BPSG selectivity for manufacturing a semiconductor device |
CN1783476A (zh) * | 2004-12-03 | 2006-06-07 | 台湾积体电路制造股份有限公司 | 集成电路的内连线结构 |
US9142453B1 (en) * | 2014-04-10 | 2015-09-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Interconnect structure and method of forming the same |
Family Cites Families (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH10270555A (ja) * | 1997-03-27 | 1998-10-09 | Mitsubishi Electric Corp | 半導体装置及びその製造方法 |
US20040222527A1 (en) * | 2003-05-06 | 2004-11-11 | Dostalik William W. | Dual damascene pattern liner |
US7285807B2 (en) * | 2005-08-25 | 2007-10-23 | Coldwatt, Inc. | Semiconductor device having substrate-driven field-effect transistor and Schottky diode and method of forming the same |
JP4314278B2 (ja) * | 2007-01-22 | 2009-08-12 | エルピーダメモリ株式会社 | 半導体装置及びその製造方法 |
US8692382B2 (en) * | 2010-03-11 | 2014-04-08 | Yu-Lin Yen | Chip package |
US10985055B2 (en) * | 2015-12-30 | 2021-04-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Interconnection structure with anti-adhesion layer |
-
2016
- 2016-04-18 US US15/131,608 patent/US9780026B2/en active Active
- 2016-08-16 TW TW105126126A patent/TWI614868B/zh active
- 2016-08-22 CN CN201610697872.7A patent/CN107026114B/zh active Active
-
2017
- 2017-10-02 US US15/722,213 patent/US10079205B2/en active Active
Patent Citations (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5883002A (en) * | 1996-08-29 | 1999-03-16 | Winbond Electronics Corp. | Method of forming contact profile by improving TEOS/BPSG selectivity for manufacturing a semiconductor device |
CN1783476A (zh) * | 2004-12-03 | 2006-06-07 | 台湾积体电路制造股份有限公司 | 集成电路的内连线结构 |
US9142453B1 (en) * | 2014-04-10 | 2015-09-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Interconnect structure and method of forming the same |
Also Published As
Publication number | Publication date |
---|---|
CN107026114A (zh) | 2017-08-08 |
US10079205B2 (en) | 2018-09-18 |
US20170221812A1 (en) | 2017-08-03 |
US9780026B2 (en) | 2017-10-03 |
TWI614868B (zh) | 2018-02-11 |
US20180025975A1 (en) | 2018-01-25 |
TW201727858A (zh) | 2017-08-01 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI611549B (zh) | 內連接結構及其形成方法 | |
TWI611510B (zh) | 內連接結構及其形成方法 | |
US10535558B2 (en) | Method of forming trenches | |
TWI619203B (zh) | 半導體元件及其形成方法 | |
CN107026114B (zh) | 内连接结构及其形成方法 | |
US11011467B2 (en) | Method of forming interconnection structure | |
TWI828622B (zh) | 鰭式場效電晶體裝置結構及其形成方法 | |
TWI740072B (zh) | 形成半導體結構的方法 | |
EP3945554A1 (en) | Semiconductor devices and method for forming the same | |
US20230387311A1 (en) | Isolation structures and methods of forming the same in field-effect transistors | |
TW202433677A (zh) | 具有用於nfet和pfet的不同通道材料的cfet及其形成方法 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
PB01 | Publication | ||
PB01 | Publication | ||
SE01 | Entry into force of request for substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
GR01 | Patent grant | ||
GR01 | Patent grant |