TW201727858A - 內連接結構及其形成方法 - Google Patents
內連接結構及其形成方法 Download PDFInfo
- Publication number
- TW201727858A TW201727858A TW105126126A TW105126126A TW201727858A TW 201727858 A TW201727858 A TW 201727858A TW 105126126 A TW105126126 A TW 105126126A TW 105126126 A TW105126126 A TW 105126126A TW 201727858 A TW201727858 A TW 201727858A
- Authority
- TW
- Taiwan
- Prior art keywords
- opening
- layer
- dielectric
- forming
- insulating
- Prior art date
Links
- 238000000034 method Methods 0.000 title claims description 64
- 239000010410 layer Substances 0.000 claims description 152
- 239000012790 adhesive layer Substances 0.000 claims description 44
- 230000000181 anti-adherent effect Effects 0.000 claims description 44
- 238000005530 etching Methods 0.000 claims description 21
- 125000006850 spacer group Chemical group 0.000 claims description 8
- 239000012212 insulator Substances 0.000 abstract 4
- 230000008569 process Effects 0.000 description 52
- 239000006227 byproduct Substances 0.000 description 20
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 16
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 13
- 239000000463 material Substances 0.000 description 13
- 229910052751 metal Inorganic materials 0.000 description 12
- 239000002184 metal Substances 0.000 description 12
- 230000003667 anti-reflective effect Effects 0.000 description 10
- 238000005229 chemical vapour deposition Methods 0.000 description 10
- 229920002120 photoresistant polymer Polymers 0.000 description 9
- 239000004065 semiconductor Substances 0.000 description 9
- 239000003989 dielectric material Substances 0.000 description 8
- UQZIWOQVLUASCR-UHFFFAOYSA-N alumane;titanium Chemical compound [AlH3].[Ti] UQZIWOQVLUASCR-UHFFFAOYSA-N 0.000 description 7
- 230000015572 biosynthetic process Effects 0.000 description 7
- 239000010936 titanium Substances 0.000 description 7
- 238000000231 atomic layer deposition Methods 0.000 description 6
- 229910052757 nitrogen Inorganic materials 0.000 description 6
- 238000000151 deposition Methods 0.000 description 5
- 230000008021 deposition Effects 0.000 description 5
- NFFIWVVINABMKP-UHFFFAOYSA-N methylidynetantalum Chemical compound [Ta]#C NFFIWVVINABMKP-UHFFFAOYSA-N 0.000 description 5
- 229910003468 tantalcarbide Inorganic materials 0.000 description 5
- XPDWGBQVDMORPB-UHFFFAOYSA-N Fluoroform Chemical compound FC(F)F XPDWGBQVDMORPB-UHFFFAOYSA-N 0.000 description 4
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 4
- 230000005669 field effect Effects 0.000 description 4
- 229910052735 hafnium Inorganic materials 0.000 description 4
- VBJZVLUMGGDVMO-UHFFFAOYSA-N hafnium atom Chemical compound [Hf] VBJZVLUMGGDVMO-UHFFFAOYSA-N 0.000 description 4
- 229910052715 tantalum Inorganic materials 0.000 description 4
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 4
- 229910052719 titanium Inorganic materials 0.000 description 4
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 3
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 3
- 229910052797 bismuth Inorganic materials 0.000 description 3
- JCXGWMGPZLAOME-UHFFFAOYSA-N bismuth atom Chemical compound [Bi] JCXGWMGPZLAOME-UHFFFAOYSA-N 0.000 description 3
- 239000004020 conductor Substances 0.000 description 3
- 238000005240 physical vapour deposition Methods 0.000 description 3
- 239000002243 precursor Substances 0.000 description 3
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 3
- 229910052721 tungsten Inorganic materials 0.000 description 3
- 239000010937 tungsten Substances 0.000 description 3
- WJMXTYZCTXTFJM-UHFFFAOYSA-N 1,1,1,2-tetraethoxydecane Chemical compound C(C)OC(C(OCC)(OCC)OCC)CCCCCCCC WJMXTYZCTXTFJM-UHFFFAOYSA-N 0.000 description 2
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 2
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 2
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 description 2
- 229910052782 aluminium Inorganic materials 0.000 description 2
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 2
- 239000006117 anti-reflective coating Substances 0.000 description 2
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 2
- IVHJCRXBQPGLOV-UHFFFAOYSA-N azanylidynetungsten Chemical compound [W]#N IVHJCRXBQPGLOV-UHFFFAOYSA-N 0.000 description 2
- 230000004888 barrier function Effects 0.000 description 2
- 239000005380 borophosphosilicate glass Substances 0.000 description 2
- 229910052799 carbon Inorganic materials 0.000 description 2
- 150000001875 compounds Chemical class 0.000 description 2
- 239000010949 copper Substances 0.000 description 2
- DIOQZVSQGTUSAI-UHFFFAOYSA-N decane Chemical compound CCCCCCCCCC DIOQZVSQGTUSAI-UHFFFAOYSA-N 0.000 description 2
- 230000007423 decrease Effects 0.000 description 2
- 239000002019 doping agent Substances 0.000 description 2
- 238000009713 electroplating Methods 0.000 description 2
- 230000001939 inductive effect Effects 0.000 description 2
- 150000002604 lanthanum compounds Chemical class 0.000 description 2
- 239000000203 mixture Substances 0.000 description 2
- 239000001301 oxygen Substances 0.000 description 2
- 229910052760 oxygen Inorganic materials 0.000 description 2
- 239000005360 phosphosilicate glass Substances 0.000 description 2
- 238000001020 plasma etching Methods 0.000 description 2
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 2
- 229920005591 polysilicon Polymers 0.000 description 2
- 229910052707 ruthenium Inorganic materials 0.000 description 2
- 238000004544 sputter deposition Methods 0.000 description 2
- TXEYQDLBPFQVAA-UHFFFAOYSA-N tetrafluoromethane Chemical compound FC(F)(F)F TXEYQDLBPFQVAA-UHFFFAOYSA-N 0.000 description 2
- MTPVUVINMAGMJL-UHFFFAOYSA-N trimethyl(1,1,2,2,2-pentafluoroethyl)silane Chemical compound C[Si](C)(C)C(F)(F)C(F)(F)F MTPVUVINMAGMJL-UHFFFAOYSA-N 0.000 description 2
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 1
- 239000004215 Carbon black (E152) Substances 0.000 description 1
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 1
- YZCKVEUIGOORGS-OUBTZVSYSA-N Deuterium Chemical compound [2H] YZCKVEUIGOORGS-OUBTZVSYSA-N 0.000 description 1
- VGGSQFUCUMXWEO-UHFFFAOYSA-N Ethene Chemical compound C=C VGGSQFUCUMXWEO-UHFFFAOYSA-N 0.000 description 1
- 239000005977 Ethylene Substances 0.000 description 1
- 108090000699 N-Type Calcium Channels Proteins 0.000 description 1
- 102000004129 N-Type Calcium Channels Human genes 0.000 description 1
- 239000004341 Octafluorocyclobutane Substances 0.000 description 1
- 108010075750 P-Type Calcium Channels Proteins 0.000 description 1
- 229910052581 Si3N4 Inorganic materials 0.000 description 1
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical class O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 1
- BQCADISMDOOEFD-UHFFFAOYSA-N Silver Chemical compound [Ag] BQCADISMDOOEFD-UHFFFAOYSA-N 0.000 description 1
- -1 TaCN Inorganic materials 0.000 description 1
- 229910004200 TaSiN Inorganic materials 0.000 description 1
- OLDOGSBTACEZFS-UHFFFAOYSA-N [C].[Bi] Chemical compound [C].[Bi] OLDOGSBTACEZFS-UHFFFAOYSA-N 0.000 description 1
- CKUAXEQHGKSLHN-UHFFFAOYSA-N [C].[N] Chemical compound [C].[N] CKUAXEQHGKSLHN-UHFFFAOYSA-N 0.000 description 1
- 230000001070 adhesive effect Effects 0.000 description 1
- 239000004964 aerogel Substances 0.000 description 1
- 230000004075 alteration Effects 0.000 description 1
- 229910021529 ammonia Inorganic materials 0.000 description 1
- 238000004380 ashing Methods 0.000 description 1
- BCZWPKDRLPGFFZ-UHFFFAOYSA-N azanylidynecerium Chemical compound [Ce]#N BCZWPKDRLPGFFZ-UHFFFAOYSA-N 0.000 description 1
- 230000009286 beneficial effect Effects 0.000 description 1
- 229910000416 bismuth oxide Inorganic materials 0.000 description 1
- 229910052796 boron Inorganic materials 0.000 description 1
- 229910000420 cerium oxide Inorganic materials 0.000 description 1
- 229910017052 cobalt Inorganic materials 0.000 description 1
- 239000010941 cobalt Substances 0.000 description 1
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 1
- 229910052802 copper Inorganic materials 0.000 description 1
- 229910052805 deuterium Inorganic materials 0.000 description 1
- TYIXMATWDRGMPF-UHFFFAOYSA-N dibismuth;oxygen(2-) Chemical compound [O-2].[O-2].[O-2].[Bi+3].[Bi+3] TYIXMATWDRGMPF-UHFFFAOYSA-N 0.000 description 1
- 230000002708 enhancing effect Effects 0.000 description 1
- 239000007789 gas Substances 0.000 description 1
- 229910052732 germanium Inorganic materials 0.000 description 1
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- 229910000449 hafnium oxide Inorganic materials 0.000 description 1
- WIHZLLGSGQNAGK-UHFFFAOYSA-N hafnium(4+);oxygen(2-) Chemical compound [O-2].[O-2].[Hf+4] WIHZLLGSGQNAGK-UHFFFAOYSA-N 0.000 description 1
- BHEPBYXIRTUNPN-UHFFFAOYSA-N hydridophosphorus(.) (triplet) Chemical compound [PH] BHEPBYXIRTUNPN-UHFFFAOYSA-N 0.000 description 1
- 229930195733 hydrocarbon Natural products 0.000 description 1
- 150000002430 hydrocarbons Chemical class 0.000 description 1
- 239000011810 insulating material Substances 0.000 description 1
- 239000011229 interlayer Substances 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- 229910052748 manganese Inorganic materials 0.000 description 1
- 239000007769 metal material Substances 0.000 description 1
- QLOAVXSYZAJECW-UHFFFAOYSA-N methane;molecular fluorine Chemical compound C.FF QLOAVXSYZAJECW-UHFFFAOYSA-N 0.000 description 1
- 239000002052 molecular layer Substances 0.000 description 1
- 229910052759 nickel Inorganic materials 0.000 description 1
- BCCOBQSFUDVTJQ-UHFFFAOYSA-N octafluorocyclobutane Chemical compound FC1(F)C(F)(F)C(F)(F)C1(F)F BCCOBQSFUDVTJQ-UHFFFAOYSA-N 0.000 description 1
- 235000019407 octafluorocyclobutane Nutrition 0.000 description 1
- 150000002894 organic compounds Chemical class 0.000 description 1
- 239000011368 organic material Substances 0.000 description 1
- BMMGVYCKOGBVEV-UHFFFAOYSA-N oxo(oxoceriooxy)cerium Chemical compound [Ce]=O.O=[Ce]=O BMMGVYCKOGBVEV-UHFFFAOYSA-N 0.000 description 1
- SIWVEOZUMHYXCS-UHFFFAOYSA-N oxo(oxoyttriooxy)yttrium Chemical compound O=[Y]O[Y]=O SIWVEOZUMHYXCS-UHFFFAOYSA-N 0.000 description 1
- 238000000059 patterning Methods 0.000 description 1
- 238000005498 polishing Methods 0.000 description 1
- 229920000052 poly(p-xylylene) Polymers 0.000 description 1
- 150000003304 ruthenium compounds Chemical class 0.000 description 1
- VSZWPYCFIRKVQL-UHFFFAOYSA-N selanylidenegallium;selenium Chemical compound [Se].[Se]=[Ga].[Se]=[Ga] VSZWPYCFIRKVQL-UHFFFAOYSA-N 0.000 description 1
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 1
- 229910052709 silver Inorganic materials 0.000 description 1
- 239000004332 silver Substances 0.000 description 1
- 238000004528 spin coating Methods 0.000 description 1
- 238000006467 substitution reaction Methods 0.000 description 1
- UONOETXJSWQNOL-UHFFFAOYSA-N tungsten carbide Chemical compound [W+]#[C-] UONOETXJSWQNOL-UHFFFAOYSA-N 0.000 description 1
- 229910052726 zirconium Inorganic materials 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76897—Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/5226—Via connections in a multilevel interconnection structure
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76807—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
- H01L21/76811—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76807—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
- H01L21/76813—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
- H01L21/76831—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/48—Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
- H01L23/482—Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
- H01L23/485—Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/5329—Insulating materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76804—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Manufacturing & Machinery (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Geometry (AREA)
Abstract
內連接結構包含一非絕緣結構、一襯墊層、一介電結構以及一導電結構。襯墊層位於非絕緣結構上並具有一開口於其中。介電結構位於襯墊層上。介電結構包含一通孔開口於其中。導電結構位於介電結構之通孔開口中並通過襯墊層之開口電性連接非絕緣結構,導電結構之至少一部份係沿著從非絕緣結構往介電結構之一方向漸縮。
Description
本揭露係關於一種半導體元件的內連接結構,且特別係關於內連接結構及其形成方法。
半導體積體電路(integrated circuit,IC)工業在過去數十年內歷經了快速的成長。受惠於積體電路材料和設計的進步,積體電路一代比一代具有體積更小且元件分佈更密集的進步。這些材料及設計的進步可使得相關的製程也隨之進步。當最小元件的尺寸降低時,許多挑戰會出現。舉例來說,導線與介電材料的內連接結構可利於連接電晶體及其他元件,故此內連接結構在積體電路性能的提升上扮演重要的角色。
在一些實施方式中,內連接結構包含一非絕緣結構、一襯墊層、一介電結構以及一導電結構。襯墊層位於非絕緣結構上並具有一開口於其中。介電結構位於襯墊層上。介電結構包含一通孔開口於其中。導電結構位於介電結構之通孔開
口中並通過襯墊層之開口電性連接非絕緣結構,導電結構之至少一部份係沿著從非絕緣結構往介電結構之一方向漸縮。
在一些實施方式中,內連接結構包含一非絕緣結構、一襯墊層、一介電結構以及一導電結構。襯墊層位於非絕緣結構上並具有一開口於其中。介電結構位於襯墊層上。介電結構包含一通孔開口於其中。導電結構位於介電結構之通孔開口中並通過襯墊層之開口電性連接非絕緣結構。導電結構包含一第一部分以及一第二部分。第二部分係位於第一部分與非絕緣結構之間,其中第二部分之寬度變化大於第一部分之寬度變化。
在一些實施方式中,一種內連接結構之形成方法包含形成一襯墊層於一非絕緣結構上,形成一介電結構於襯墊層上,形成一通孔開口貫穿介電結構並進入襯墊層中使得通孔開口之至少一部份係沿著從非絕緣結構往介電結構之一方向漸縮、以及形成一導電結構於通孔開口中,其中導電結構係電性連接非絕緣結構。
102‧‧‧非絕緣結構
104‧‧‧襯墊層
106‧‧‧介電結構
108‧‧‧抗反射層
110‧‧‧凹陷
112‧‧‧內表面
112B‧‧‧底面
112L‧‧‧側面
114‧‧‧遮罩層
116‧‧‧開口
118‧‧‧抗黏層
120‧‧‧側壁
122‧‧‧上部分
124‧‧‧下部分
126‧‧‧凹陷
128‧‧‧導電結構
130‧‧‧第一部分
132‧‧‧第二部分
134‧‧‧溝槽填充部
136‧‧‧過度填充部
O1‧‧‧通孔開口
O2‧‧‧溝槽開口
O3‧‧‧開口
O4‧‧‧頂開口
O5‧‧‧漸縮開口
本揭露之態樣可從以下的詳細說明及隨附的圖式理解。值得注意的是,根據產業上的實際應用,各個特徵並未按照比例繪製,事實上,各個特徵的尺寸可以任意的放大或縮小,以利清楚地說明。
第1圖至第8圖係根據本揭露一些實施方式之用於製造內連接結構之方法在各個階段下的剖面圖。
下提供本揭露之多種不同的實施方式或實施例,以實現本揭露的不同技術特徵。元件的實施方式和配置係如下所述以簡化本揭露。當然,這些敘述僅為示例,而非用以限制本揭露。舉例而言,第一特徵係形成於第二特徵上之敘述可包括第一特徵與第二特徵係直接接觸的實施方式,亦可包括額外特徵形成於第一與第二特徵之間的實施方式,使得第一特徵與第二特徵可非直接接觸。此外,本揭露可重複地使用元件符號於多個實施方式中。此重複係為了簡潔,並非用以討論各個實施方式及/或配置之間的關係。
另外,空間相對用語,如「下」、「下方」、「低」、「上」、「上方」等,是用以方便描述一元件或特徵與其他元件或特徵在圖式中的相對關係。除了圖式中所示之方位以外,這些空間相對用語亦可用來幫助理解元件在使用或操作時的不同方位。當元件被轉向其他方位(例如旋轉90度或其他方位)時,本文所使用的空間相對敘述亦可幫助理解。
第1圖至第8圖係根據本揭露一些實施方式之用於製造內連接結構之方法在各個階段下的剖面圖。參照第1圖,襯墊層104係形成於非絕緣結構102上。非絕緣結構102係指由一或更多種非絕緣材料、多晶矽、金屬、導電材料、半導體材料或上述之組合形成的結構。非絕緣結構102可做為半導體元件,諸如鰭式場效電晶體(fin field effect transistor;FinFET),之閘極電極、源極/汲極區域。
在一些實施方式中,當非絕緣結構102做為鰭式場效電晶體之閘極電極時,可藉由後閘極製程形成非絕緣結構102。示例性後閘極製程可包括在半導體鰭片上形成虛設閘極結構,此虛設閘極結構可包括諸如多晶矽等材料;在虛設閘極結構旁形成間隔物,此間隔物可包括諸如氧化矽、氮化矽、氧氮化矽、碳化矽等材料;移除虛設閘極結構以在間隔物之間形成溝槽;及在間隔物之間的溝槽中形成至少一金屬層以形成非絕緣結構102。金屬層可包括適於形成閘極電極或閘極電極的一部分之金屬材料,此金屬材料可包括功函數層、襯墊層、介面層、晶種層、黏著層及阻障層等等。在一些實施方式中,金屬層可包括適宜金屬,諸如氮化鈦(TiN)、氮化鎢(WN)、氮化鉭(TaN)或釕(Ru),其可用於p型鰭式場效電晶體中。在其他實施方式中,金屬層可包括適宜金屬,諸如鈦Ti、銀(Ag)、鋁(Al)、鈦鋁(TiAl)、氮化鈦鋁(TiAlN)、碳化鈦鋁(TiAlC)、碳氮化鈦鋁(TiAlCN)、碳化鉭(TaC)、碳氮化鉭(TaCN)、氮矽化鉭(TaSiN)、錳(Mn)或鋯(Zr),其可用於n型鰭式場效電晶體中。
在一些實施方式中,當非絕緣結構102做為源極/汲極區域時,示例性形成製程可包括藉由使用離子植入將n型摻雜物(諸如磷)或p型摻雜物(諸如硼)摻入未被間隔物覆蓋的半導體鰭片及閘極之至少一部分中。形成源極/汲極區域之另一示例性製程可包括在鄰近於間隔物的鰭片中形成至少一源極/汲極凹陷,在源極/汲極凹陷中形成晶種層,在源極/汲極凹陷中的晶種層上形成鬆弛磊晶層,在源極/汲極凹陷中
的鬆弛磊晶層上形成磊晶層,使得晶種層、鬆弛磊晶層及磊晶層形成源極/汲極應力源(stressor)以做為源極/汲極區域。在一些實施方式中,源極/汲極應力源包括例如磷化矽(SiP)或碳磷化矽(SiCP),此應力源能夠誘發半導體鰭片中的n型通道的拉應變。在一些其他實施方式中,源極/汲極應力源包括矽鍺(SiGe),此應力源能夠誘發半導體鰭片中的p型通道的壓應變。
襯墊層104可做為蝕刻終止層,蝕刻終止層在形成通孔開口及/或溝槽開口的蝕刻製程期間,可保護非絕緣結構102。在一些實施方式中,襯墊層104可包括介電材料,諸如碳化矽、氮化矽或摻碳氮化矽。在一些實施方式中,襯墊層104可包括導電材料,諸如鈦(Ti)、氮化鈦(TiN)、碳化鈦(TiC)、碳氮化鈦(TiCN)、鉭(Ta)、氮化鉭(TaN)、碳化鉭(TaC)、碳氮化鉭(TaCN)、鎢(W)、氮化鎢(WN)、碳化鎢(WC)、碳氮化鎢(WCN)、鈦鋁(TiAl)、氮化鈦鋁(TiAlN)、碳化鈦鋁(TiAlC)或碳氮化鈦鋁(TiAlCN)。在一些實施方式中,可使用化學氣相沉積(chemical vapor deposition;CVD)、高密度電漿(high density plasma;HDP)CVD、次大氣壓CVD(sub-atmospheric CVD;SACVD)、分子層沉積(molecular layer deposition;MLD)、濺鍍、物理氣相沉積(physical vapor deposition;PVD)、電鍍或其他適宜技術,來沉積襯墊層104。舉例而言,在一些實施方式中,可在小於約10毫托之壓力下及在自約350℃至約500℃之溫度範圍內實施MLD製程。在一些實施方式中,藉由使矽源化合物與氮源反應在非絕緣結構102之頂表面上沉積氮化矽。矽源化合物提
供矽至所沉積之氮化矽且可包括矽烷(SiH4)或四乙氧基矽烷(tetrathoxysilane;TEOS)。氮源提供氮至所沉積之氮化矽且可包括氨氣(NH3)或氮氣(N2)。在一些其他實施方式中,藉由反應碳源化合物、矽源化合物與氮源反應以在非絕緣結構102之頂表面上沉積摻碳氮化矽。碳源化合物可包括有機化合物,諸如碳氫化合物(例如,乙烯(C2H6))。
參照第2圖,介電結構106係形成於襯墊層104上。換句話說,襯墊層104係位於介電結構106與非絕緣結構102之間。介電結構106可為包括介電材料的層間介電(interlayer dielectric;ILD)層。介電材料可包括四乙氧基矽烷(TEOS)、超低k(extreme low-k;ELK)介電材料、無氮抗反射塗層(nitrogen-free anti-reflective coating;NFARC)、氧化矽、氮化矽、氧氮化矽、磷矽玻璃(phosphosilicate glass;PSG)、硼磷矽玻璃(borophosphosilicate glass;BPSG)、旋塗玻璃(spin-on glass;SOG)、氟化矽玻璃(fluorinated silica glass;FSG)、摻碳氧化矽(例如,SiCOH)、乾凝膠、氣凝膠、非晶氟化碳、聚對二甲苯基、雙苯并環丁烯(BCB)、聚醯亞胺及/或上述之組合。ELK介電材料具有小於例如約2.5的介電常數。可理解到,介電結構106可包括一或更多種介電材料及/或一或更多個介電層。在一些實施方式中,介電結構106可藉由CVD、HDP CVD、SACVD、旋塗、濺射或其他適宜技術沉積在襯墊層104上。
參照第3圖,抗反射層108係形成於介電結構106上。抗反射層108可由有機材料、氧化材料、氮化材料或類似者形成。抗反射層108可藉由使用適宜技術(諸如CVD)來形成。
蝕刻製程可執行於介電結構106以在介電結構106中形成凹陷110,且襯墊層104並未暴露於凹陷110中。換句話說,可下挖介電結構106直到抵達位於襯墊層104上方的水平。換句話說,此蝕刻製程不會蝕刻穿介電結構106而暴露出襯墊層104。因此,在這個階段,襯墊層104不會被蝕刻或傷害,故在此蝕刻過程中,不會產生蝕刻襯墊層104所造成的副產物。是以,在此蝕刻過程中,沒有副產物會黏著至凹陷110的內表面112上。凹陷110的示例性形成方式係如下所述。可在介電結構106上方形成光阻層(未圖示),並接著圖案化光阻層以形成具有凹陷110之影像的光阻遮罩。使用光阻層做為遮罩,隨後將凹陷110之圖案蝕刻至介電結構106中。在蝕刻製程後,藉由例如氧電漿灰化等製程移除光阻遮罩。形成凹陷110之蝕刻製程可在襯墊層104被暴露之前終止,使得在此步驟中,介電結構106之一部分係保留於凹陷110與襯墊層104之間。此介電結構106之保留部分可保護襯墊層104免於被蝕刻或破壞,因而避免蝕刻襯墊層104所產生的副產物黏著至凹陷110的內表面112。在一些實施方式中,蝕刻製程可為乾式蝕刻、濕式蝕刻及/或電漿蝕刻製程。形成凹陷110之蝕刻製程可採用四氟甲烷(CF4)、三氟甲烷(CHF3)及氧之混合物做為蝕刻氣體。
遮罩層114係形成於抗反射層108上。遮罩層114具有開口116。開口116曝露抗反射層108的一部分,使得蝕刻製程可執行於抗反射層108之曝露部分及其下方的部分介電結構106,以便在後續步驟中形成溝槽開口。在一些實施方式中,遮罩層114可為硬遮罩,諸如氮化矽(Si3N4)。硬遮罩114與抗反射層108及介電結構106相比具有相對較高的抗蝕刻性。硬遮罩之形成可藉由如CVD等製程所實現。在一些其他實施方式中,遮罩層114可為光阻層。遮罩層114之形成包括在抗反射層108上形成光阻層及隨後圖案化光阻層,以形成具有開口116之影像的光阻遮罩。
參照第4圖。抗黏層118係至少形成於凹陷110的內表面112上。更詳細地說,抗黏層118可共形地形成於遮罩層114之頂面及側面、抗反射層108之頂面及側面、及凹陷110之內表面112。具體來說,凹陷110之內表面112包含底面112B以及側面112L。側面112L連接底面112B以及介電結構106之頂面。在一些實施方式中,整個側面112L與整個底面112B係被抗黏層118所覆蓋。抗黏層118與介電結構106係由具有不同黏著特性的不同材料所形成的。更詳細地說,抗黏層118與介電結構106對於蝕刻襯墊層104所產生的副產物的黏性不同。具體來說,抗黏層118與介電結構106包含不同材料使得抗黏層118對於蝕刻襯墊層104所產生的副產物之黏性,比介電結構106對於蝕刻襯墊層104所產生的副產物之黏性更弱。因此,當在後續製程中蝕刻襯墊層104時,凹陷110之整個內表面112上的抗黏層118可避免副產物黏著至內表面112,故後續
形成的通孔開口不會因為黏著至內表面112的副產物而縮小。本文所述之「對於副產物的黏性」可代表一表面之單位面積可黏著的副產物的總量。更詳細地說,「抗黏層118對於副產物的黏性」可代表抗黏層118之表面的單位面積可黏著的副產物之總量。相似地,「介電結構106對於副產物的黏性」可代表介電結構106之表面的單位面積可黏著的副產物之總量。在一些實施方式中,抗黏層118之材料為介電的,例如:氮化矽(SiN)或氧氮化矽(SiON)。介電結構106之材料係不同於抗黏層118之材料。舉例來說,介電結構106包含四乙氧基矽烷(TEOS)、超低k(extreme low-k;ELK)介電材料、無氮抗反射塗層(nitrogen-free anti-reflective coating;NFARC)。藉由抗黏層118與介電結構106的材料差異,抗黏層118可展現出比介電結構106較弱的黏性。在一些實施方式中,抗黏層118係共形地形成於凹陷110的內表面112上。抗黏層118之形成可藉由原子層沉積(atomic layer deposition;ALD)製程或CVD製程來實現。舉例而言,抗黏層118可為藉由ALD形成之氮化矽層。形成氮化矽層的ALD包括多個氮化矽沉積循環。每個氮化矽沉積循環可包括使遮罩層114、抗反射層108及凹陷110之內表面112與矽前驅物接觸,使得矽前驅物吸附於這些表面上,並使這些表面與氮前驅物接觸。氮化矽沉積循環可重複地執行以形成預設厚度及成分的氮化矽層。這樣的方式下所形成的氮化矽層可做為共形地形成於凹陷110之內表面112上的抗黏層118。
參照第5圖,部分抗黏層118、部分抗反射層108與部分介電結構106被移除,以形成通孔開口O1與溝槽開口
O2於介電結構106中。通孔開口O1係位於溝槽開口O2與襯墊層104之間,通孔開口O1與溝槽開口O2係連通的。更詳細地說,位於第4圖中之凹陷110下方的部分介電結構106被移除以形成暴露襯墊層104的通孔開口O1。換句話說,在形成抗黏層118後,通孔開口O1係被加深直到抵達襯墊層104。此外,此部分介電結構106的移除可伴隨著溝槽開口O2的形成。換句話說,在移除位於凹陷110下方的部分介電結構106之過程中,位於遮罩層114之開口116下方的另一部分之介電結構106也會被移除。因此,通孔開口O1與溝槽開口O2可在同一道製程中形成。在此移除的過程中,遮罩層114可避免其下方的部分介電結構106被移除。換句話說,溝槽開口O2的形成為移除未被遮罩層114所覆蓋之部分介電結構106。此移除製程可為蝕刻製程,諸如非等向性蝕刻製程。非等向性蝕刻製程可為例如基於四氟化碳(CF4)或基於八氟環丁烷(C4F8)的乾式蝕刻製程。
第5圖中所執行的移除製程形成溝槽開口O2下方之通孔開口O1的側壁120。在形成通孔開口O1與溝槽開口O2之後,抗黏層118之一部分會留在側壁120之至少一部分上並做為介電通孔襯墊,以避免在後續蝕刻襯墊層104的製程中,副產物黏著至側壁120。藉此,可防止蝕刻襯墊層104所產生的副產物縮小通孔開口O1的尺寸。
在部分實施方式中,由於暴露襯墊層104的蝕刻製程係在形成抗黏層118之後所執行的,通孔開口O1的下區域會在形成抗黏層118之後形成。因此,抗黏層118不位於通孔
開口O1之下區域。換句話說,抗黏層118係位於側壁120之一部分上。更詳細地說,側壁120包含上部分122以及下部分124。下部分124係位於上部分122與非絕緣結構102之間。換句話說,上部分122係位於下部分124與溝槽開口O2之間。抗黏層118係位於上部分122上並不位於下部分124上。換句話說,上部分122係被抗黏層118所覆蓋,而下部分124則不被抗黏層118所覆蓋。換句話說,抗黏層118係位於側壁120之最靠近溝槽開口O2之部分上。更詳細地說,在形成通孔開口O1之後,第4圖中的凹陷110之側面112L的最低部分會留在介電結構106中並做為側壁120之上部分122,而位於第4圖中的凹陷110之側面112L的最低部分上的部分抗黏層118也會留在介電結構106中。在一些實施方式中,由於抗黏層118係共形地形成在側面112L上(例如藉由ALD製程),抗黏層118與側壁120之上部分122係共形的。
在一些實施方式中,如第5圖所示,由於在第5圖所執行的蝕刻製程中,上部分122上的抗黏層118可做為保護其下方之介電結構106的遮罩,側壁120的上部分122與下部分124係不互相對齊的。更詳細地說,從上部分122至通孔開口O1之中心軸的距離,係大於從下部分124至通孔開口O1之中心軸的距離。換句話說,側壁120具有凹陷126於其上。凹陷126係靠近溝槽開口O2。抗黏層118係位於凹陷126中,或更具體地,抗黏層118填滿凹陷126。
參照第6圖。位於通孔開口O1下方的部分襯墊層104被移除以形成開口O3,從而允許非絕緣結構102被通孔開
口O1所暴露。此襯墊移除製程可被執行而使得通孔開口O1之至少一部分會沿著從介電結構106至非絕緣結構102的方向擴大。具體來說,通孔開口O1會被加深及擴大,直到移除通孔開口O1下方的部分襯墊層104,從而形成暴露出非絕緣結構102的開口O3。這樣被擴大的通孔開口O1可增加非絕緣結構102與後續形成於通孔開口O1中的導體的接觸面積,因而能夠降低接觸電阻。換句話說,通孔開口O1之至少一部份係沿著從非絕緣結構102往介電結構106的方向漸縮。更具體地說,通孔開口O1包含相連通的頂開口O4以及漸縮開口O5。漸縮開口O5係位於頂開口O4與非絕緣結構102之間。漸縮開口O5係沿著從非絕緣結構102往頂開口O4的方向漸縮。換句話說,漸縮開口O5係沿著從介電結構106往非絕緣結構102的方向擴大。在一些實施方式中,抗黏層118係位於頂開口O4中並不位於漸縮開口O5中。換句話說,漸縮開口O5中並不存在抗黏層118。由於抗黏層118與介電結構106具有不同蝕刻特性,襯墊移除製程所造成的漸縮開口O5與頂開口O4之形狀改變會不同。更具體地說,在一些實施方式中,由於抗黏層118與介電結構106具有不同蝕刻選擇性,頂開口O4的寬度變化小於漸縮開口O5的寬度變化。換句話說,在蝕刻襯墊層104的過程中,抗黏層118具有比介電結構106更高的抗蝕刻性,從而避免抗黏層118被蝕刻襯墊層104的製程所傷害。因此,具有抗黏層118於其中的頂開口O4的寬度變化會小於漸縮開口O5的寬度變化。在一些實施方式中,頂開口O4不會漸縮而漸縮開口O5會漸縮。換句話說,漸縮開口O5的寬度可沿著從非絕緣結構
102朝向頂開口O4的方向減少,而頂開口O4具有實質上固定的寬度。
在一些實施方式中,襯墊層104的開口O3暴露出非絕緣結構102。由於抗黏層118對於蝕刻襯墊層104之開口O3所產生的副產物之黏性,比介電結構106之通孔開口O1之側壁120對於此副產物的黏性更弱,故在襯墊移除製程中,側壁120上的抗黏層118可避免蝕刻襯墊層104之開口O3所產生的副產物黏著至通孔開口O1之側壁120,因此可避免通孔開口O1的尺寸在移除部分襯墊層104後降低。此外,由於抗黏層118與介電結構106具有不同蝕刻特性,其可允許抗黏層118具有比介電結構106更高的抗蝕刻性,因此蝕刻開口O3對於抗黏層118所造成的傷害可被降低,故在襯墊移除製程後,通孔開口O1的頂開口O4之尺寸不會降低。在部分實施方式中,非絕緣結構102包含金屬,抗黏層118對於金屬的黏性比介電結構106之通孔開口O1之側壁120對於金屬的黏性更弱,故側壁120上的抗黏層118可避免過蝕刻(over-etching)非絕緣結構102所產生的副產物黏著至側壁120上。
在一些實施方式中,位於通孔開口O1下方的部分襯墊層104可藉由等向性乾蝕刻製程所移除。這樣的等向性乾蝕刻製程可助於通孔開口O1之下區域沿著從介電結構106往非絕緣結構102的方向擴大。在一些實施方式中,等向性乾蝕刻製程可為等向性的反應性離子蝕刻(Reactive-Ion Etching;RIE)製程,其可利用三氟甲烷(CHF3)做為對襯墊層104的蝕刻劑。等向性乾蝕刻製程可具有高選擇性使得此乾蝕
刻製程可終止於非絕緣結構102。因此,可減少非絕緣結構102產生不必要的蝕刻。襯墊層104可有益於使非絕緣結構102不具有由形成通孔開口O1及溝槽開口O2之蝕刻製程所造成的凹陷,從而增強半導體元件效能。在此襯墊移除製程後,位於通孔開口O1外的另一部分襯墊層104可保留於介電結構106與非絕緣結構102之間。由於抗黏層118不位於側壁120之下部分124,下部分124可被塑形為沿著襯墊層104之開口O3往通孔開口O1之頂開口O4的方向漸縮。此外,抗黏層118不接觸剩餘襯墊層104。換句話說,抗黏層118與剩餘襯墊層104係分離的。更具體地說,抗黏層118與剩餘襯墊層104係被介電結構106之側壁120之下漸縮部124所分離的。
參照第7圖,導電結構128係形成於通孔開口O1與溝槽開口O2中,並通過襯墊層104之開口O3電性連接非絕緣結構102。抗黏層118係位於通孔開口O1之側壁120與導電結構128之間。在一些實施方式中,抗黏層118係位於側壁120之上部分122與導電結構128之間。更詳細地說,抗黏層118接觸側壁120之上部分122與導電結構128。藉由這樣的設計,側壁120之上部分122與導電結構128可被抗黏層118所分離。換句話說,側壁120之上部分122不接觸導電結構128。由於抗黏層118不位於側壁120之下漸縮部124,下漸縮部124會接觸導電結構128。換句話說,抗黏層118與側壁120之下漸縮部124係分別位於導電結構128的不同部分上。
在一些實施方式中,導電結構128之至少一部分填滿漸縮開口O5,故此部分的導電結構128可與側壁120之下
漸縮部124共形。換句話說,導電結構128之至少一部分係沿著從非絕緣結構102往介電結構106的方向漸縮。換句話說,此部分的導電結構128係朝向非絕緣結構102擴大的,故可增加導電結構128與非絕緣結構102的接觸面積,從而降低接觸電阻。更詳細地說,導電結構128包含第一部分130以及第二部分132。第二部分132係位於第一部分130與非絕緣結構102之間。抗黏層118不位於側壁120之下漸縮部124與導電結構128的第二部分132之間,使得第二部分132可為漸縮部,其沿著從非絕緣結構102往介電結構106的方向漸縮。換句話說,導電結構128的第二部分132係共形地位於通孔開口O1漸縮開口O5中。在一些實施方式中,抗黏層118係位於側壁120的上部分122與導電結構128的第一部分130之間,由於第一部分130所在的抗黏層118相較於介電結構106具有較高的抗蝕刻性,故第一部分130的寬度變化係低於第二部分132的寬度變化。於一些實施方式中,第一部分130不漸縮而第二部分132漸縮。更具體來說,第二部分132的寬度係沿著從非絕緣結構102朝向第一部分130的方向降低,而第一部分130具有實質上固定的寬度。
在一些實施方式中,導電結構128包括氮化鈦(TiN)、氮化鉭(TaN)、鉭(Ta)、鈦(Ti)、鉿(Hf)、鋯(Zr)、鎳(Ni)、鎢(W)、鈷(Co)、銅(Cu)或鋁(Al)。在一些實施方式中,可藉由CVD、PVD、電鍍、ALD或其他適宜技術形成導電結構128。在一些實施方式中,導電結構128可包括疊層。疊層可進一步包括阻障金屬層、襯墊金屬層或濕潤金屬層。另外,
導電結構128之厚度取決於通孔開口O1及溝槽開口O2之深度。導電結構128係被沉積直到實質上填滿或過度填充通孔開口O1及溝槽開口O2。
在一些實施方式中,當導電結構128過度填充溝槽開口O2時,導電結構128可進一步包含溝槽填充部134與過度填充部136。溝槽填充部134連接並位於第一部分130與過度填充部136之間。溝槽填充部134填滿溝槽開口O2。第一部分130與第二部分132填滿通孔開口O1並通過襯墊層104之開口O3接觸非絕緣結構102。過度填充部136過度填充溝槽開口O2並覆蓋遮罩層114。
參照第8圖,在填充通孔開口O1及溝槽開口O2後,可執行化學機械研磨(chemical-mechanical polishing;CMP)製程以平坦化導電結構128。CMP製程可移除溝槽開口O2外的導電結構128之過度填充部136,當CMP製程抵達介電結構106時,可終止CMP製程並因而提供實質平坦表面。CMP製程移除抗反射層108及遮罩層114。
在一些實施方式中,導電結構之至少一部分係沿著從非絕緣結構往介電結構之方向漸縮。換句話說,導電結構朝向非絕緣結構擴大。因此,導電結構與非絕緣結構之接觸面積可被增加,從而降低接觸電阻。
上文概述若干實施方式之特徵,使得熟習此項技術者可更好地理解本揭露之態樣。熟習此項技術者應瞭解,可輕易使用本揭露作為設計或修改其他製程及結構的基礎,以便實施本文所介紹之實施方式的相同目的及/或實現相同優勢。
熟習此項技術者亦應認識到,此類等效結構並未脫離本揭露之精神及範疇,且可在不脫離本揭露之精神及範疇的情況下產生本文的各種變化、替代及更改。
102‧‧‧非絕緣結構
104‧‧‧襯墊層
106‧‧‧介電結構
118‧‧‧抗黏層
120‧‧‧側壁
122‧‧‧上部分
124‧‧‧下部分
128‧‧‧導電結構
130‧‧‧第一部分
132‧‧‧第二部分
134‧‧‧溝槽填充部
O1‧‧‧通孔開口
O2‧‧‧溝槽開口
O3‧‧‧開口
O4‧‧‧頂開口
O5‧‧‧漸縮開口
Claims (10)
- 一種內連接結構,包含:一非絕緣結構;一襯墊層,位於該非絕緣結構上並具有一開口於其中;一介電結構,位於該襯墊層上,該介電結構包含一通孔開口於其中;以及一導電結構,位於該介電結構之該通孔開口中並通過該襯墊層之該開口電性連接該非絕緣結構,該導電結構之至少一部份係沿著從該非絕緣結構往該介電結構之一方向漸縮。
- 如請求項1所述之內連接結構,更包含:一抗黏層,位於該通孔開口之一側壁與該導電結構之間。
- 如請求項2所述之內連接結構,其中該導電結構包含一漸縮部,該漸縮部係沿著從該非絕緣結構往該介電結構之該方向漸縮,該抗黏層係不位於該通孔開口之該側壁與該漸縮部之間。
- 如請求項2所述之內連接結構,其中該抗黏層與該介電結構具有不同的蝕刻特性。
- 如請求項1所述之內連接結構,其中該介電結構之該通孔開口之至少一部分係沿著從該非絕緣結構往該介電結構之該方向漸縮。
- 一種內連接結構,包含:一非絕緣結構;一襯墊層,位於該非絕緣結構上並具有一開口於其中;一介電結構,位於該襯墊層上,該介電結構包含一通孔開口於其中;以及一導電結構,位於該介電結構之該通孔開口中並通過該襯墊層之該開口電性連接該非絕緣結構,該導電結構包含一第一部分以及一第二部分,該第二部分係位於該第一部分與該非絕緣結構之間,其中該第二部分之寬度變化大於該第一部分之寬度變化。
- 如請求項6所述之內連接結構,其中該通孔開口之至少一部分係沿著從該非絕緣結構往該介電結構之一方向漸縮,該導電結構之該第二部分係位於該通孔開口之該部分中。
- 一種內連接結構之形成方法,包含:形成一襯墊層於一非絕緣結構上;形成一介電結構於該襯墊層上;形成一通孔開口貫穿該介電結構並進入該襯墊層中使得該通孔開口之至少一部份係沿著從該非絕緣結構往該介電結構之一方向漸縮;以及形成一導電結構於該通孔開口中,其中該導電結構係電性連接該非絕緣結構。
- 如請求項8所述之內連接結構之形成方法,其中該形成該通孔開口包含:形成該通孔開口於該介電結構中;形成一抗黏層於該通孔開口之至少一側壁上;以及加深並擴大該通孔開口,直到移除該通孔開口下方之該襯墊層之一部分。
- 如請求項9所述之內連接結構之形成方法,更包含:在該形成該抗黏層後,形成一溝槽開口於該介電結構中,其中該溝槽開口連通該通孔開口。
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201662289137P | 2016-01-29 | 2016-01-29 | |
US62/289,137 | 2016-01-29 | ||
US15/131,608 | 2016-04-18 | ||
US15/131,608 US9780026B2 (en) | 2016-01-29 | 2016-04-18 | Interconnection structure and method of forming the same |
Publications (2)
Publication Number | Publication Date |
---|---|
TW201727858A true TW201727858A (zh) | 2017-08-01 |
TWI614868B TWI614868B (zh) | 2018-02-11 |
Family
ID=59386205
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW105126126A TWI614868B (zh) | 2016-01-29 | 2016-08-16 | 內連接結構及其形成方法 |
Country Status (3)
Country | Link |
---|---|
US (2) | US9780026B2 (zh) |
CN (1) | CN107026114B (zh) |
TW (1) | TWI614868B (zh) |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TWI749303B (zh) * | 2018-12-04 | 2021-12-11 | 南亞科技股份有限公司 | 半導體結構及其製造方法 |
Families Citing this family (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR102606765B1 (ko) * | 2018-02-07 | 2023-11-27 | 삼성전자주식회사 | 비아 플러그를 갖는 반도체 소자 및 그 형성 방법 |
US11302629B2 (en) * | 2020-02-19 | 2022-04-12 | Nanya Technology Corporation | Semiconductor device with composite passivation structure and method for preparing the same |
US11195752B1 (en) * | 2020-05-29 | 2021-12-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method of forming same |
Family Cites Families (9)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5883002A (en) * | 1996-08-29 | 1999-03-16 | Winbond Electronics Corp. | Method of forming contact profile by improving TEOS/BPSG selectivity for manufacturing a semiconductor device |
JPH10270555A (ja) * | 1997-03-27 | 1998-10-09 | Mitsubishi Electric Corp | 半導体装置及びその製造方法 |
US20040222527A1 (en) * | 2003-05-06 | 2004-11-11 | Dostalik William W. | Dual damascene pattern liner |
US7259463B2 (en) * | 2004-12-03 | 2007-08-21 | Taiwan Semiconductor Manufacturing Company, Ltd. | Damascene interconnect structure with cap layer |
US7285807B2 (en) * | 2005-08-25 | 2007-10-23 | Coldwatt, Inc. | Semiconductor device having substrate-driven field-effect transistor and Schottky diode and method of forming the same |
JP4314278B2 (ja) * | 2007-01-22 | 2009-08-12 | エルピーダメモリ株式会社 | 半導体装置及びその製造方法 |
US8692382B2 (en) * | 2010-03-11 | 2014-04-08 | Yu-Lin Yen | Chip package |
US9142453B1 (en) * | 2014-04-10 | 2015-09-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Interconnect structure and method of forming the same |
US10985055B2 (en) * | 2015-12-30 | 2021-04-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Interconnection structure with anti-adhesion layer |
-
2016
- 2016-04-18 US US15/131,608 patent/US9780026B2/en active Active
- 2016-08-16 TW TW105126126A patent/TWI614868B/zh active
- 2016-08-22 CN CN201610697872.7A patent/CN107026114B/zh active Active
-
2017
- 2017-10-02 US US15/722,213 patent/US10079205B2/en active Active
Cited By (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TWI749303B (zh) * | 2018-12-04 | 2021-12-11 | 南亞科技股份有限公司 | 半導體結構及其製造方法 |
US11450556B2 (en) | 2018-12-04 | 2022-09-20 | Nanya Technology Corporation | Semiconductor structure |
Also Published As
Publication number | Publication date |
---|---|
TWI614868B (zh) | 2018-02-11 |
CN107026114A (zh) | 2017-08-08 |
CN107026114B (zh) | 2020-04-07 |
US9780026B2 (en) | 2017-10-03 |
US10079205B2 (en) | 2018-09-18 |
US20170221812A1 (en) | 2017-08-03 |
US20180025975A1 (en) | 2018-01-25 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI611510B (zh) | 內連接結構及其形成方法 | |
TWI611549B (zh) | 內連接結構及其形成方法 | |
CN108695240B (zh) | 低阻抗接触窗插塞的形成方法 | |
TWI619203B (zh) | 半導體元件及其形成方法 | |
TWI783477B (zh) | 半導體電晶體裝置及其形成方法 | |
JP2013530519A (ja) | デバイスを形成する方法およびデバイス | |
TWI614868B (zh) | 內連接結構及其形成方法 | |
TW202021002A (zh) | 半導體結構及其形成方法 | |
US11011467B2 (en) | Method of forming interconnection structure | |
TWI740072B (zh) | 形成半導體結構的方法 | |
TWI835174B (zh) | 半導體結構及其製造方法 | |
TW202347428A (zh) | 半導體裝置及其製造方法 |