TWI611549B - 內連接結構及其形成方法 - Google Patents

內連接結構及其形成方法 Download PDF

Info

Publication number
TWI611549B
TWI611549B TW105120576A TW105120576A TWI611549B TW I611549 B TWI611549 B TW I611549B TW 105120576 A TW105120576 A TW 105120576A TW 105120576 A TW105120576 A TW 105120576A TW I611549 B TWI611549 B TW I611549B
Authority
TW
Taiwan
Prior art keywords
opening
layer
dielectric
conductive
groove
Prior art date
Application number
TW105120576A
Other languages
English (en)
Other versions
TW201715688A (zh
Inventor
張哲誠
林志翰
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201715688A publication Critical patent/TW201715688A/zh
Application granted granted Critical
Publication of TWI611549B publication Critical patent/TWI611549B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1042Formation and after-treatment of dielectrics the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1052Formation of thin functional dielectric layers
    • H01L2221/1057Formation of thin functional dielectric layers in via holes or trenches
    • H01L2221/1063Sacrificial or temporary thin dielectric films in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

內連接結構包括非絕緣結構、介電結構及導電結構。介電結構位於非絕緣結構上。介電結構具有溝槽開口及通孔開口於其中。溝槽開口具有底表面及底表面中的至少一凹槽。通孔開口係位於溝槽開口與非絕緣結構之間。導電結構係位於溝槽開口及通孔開口中,並電性連接非絕緣結構。導電結構至少與凹槽之底部分離。

Description

內連接結構及其形成方法
本揭露係關於一種內連接結構及其形成方法。
雙鑲嵌製程係一種用於在半導體裝置中形成內連接的技術。隨著元件尺寸的縮小化趨勢,雙鑲嵌製程提供小尺寸圖案更精確的尺寸控制。由於在超大型積體電路(ultra large scale integrated;ULSI)技術中,愈來愈多的元件被封裝在半導體基板中的相同或更小區域內,因此,雙鑲嵌製程可適用超大型積體電路中。
於部分實施方式中,一種內連接結構包含一非絕緣結構、一介電結構以及一導電結構。介電結構係位於非絕緣結構上。介電結構具有一溝槽開口及一通孔開口於其中。溝槽開口具有一底表面及底表面中的至少一凹槽。通孔開口係位於溝槽開口與非絕緣結構之間。導電結構係位於溝槽開口及通孔開口中,並電性連接非絕緣結構。導電結構至少與凹槽之底部分離。
於部分實施方式中,一種內連接結構包含一非絕緣結構、一介電結構、一導電結構以及至少一第一介電保護層。介電結構係位於非絕緣結構上。介電結構具有一溝槽開口及一通孔開口於其中。通孔開口係位於溝槽開口與非絕緣結構之間。導電結構係位於溝槽開口及通孔開口中,並電性連接非絕緣結構。第一介電保護層係位於導電結構與通孔開口之至少一側壁之間。
於部分實施方式中,一種形成一內連接結構之方法包含形成一襯墊層於一非絕緣結構上,形成一介電結構於該襯墊層上,形成至少一通孔開口於介電結構中,其中位於非絕緣結構上之襯墊層的一部分係被通孔開口所曝露的,形成至少一溝槽開口於介電結構中,其中溝槽開口與通孔開口連通,形成至少一第一介電保護層至少部分於溝槽開口之一底表面上,在形成第一介電保護層之後,移除通孔開口曝露的襯墊層之部分以曝露非絕緣結構,以及形成一導電結構於溝槽開口及通孔開口中,其中導電結構電性連接曝露的非絕緣結構。
102‧‧‧非絕緣結構
104‧‧‧襯墊層
106‧‧‧介電結構
108‧‧‧抗反射層
110‧‧‧遮罩層
202‧‧‧保護層
310‧‧‧通孔定義部分
312‧‧‧側壁
320‧‧‧側壁
322‧‧‧凹槽
324‧‧‧底表面
410‧‧‧保護層
412‧‧‧內表面
414‧‧‧外表面
600‧‧‧導電結構
610‧‧‧下導電部分
620‧‧‧中間導電部分
622‧‧‧下表面
630‧‧‧上導電部分
910‧‧‧終止層
A‧‧‧中心軸
D‧‧‧方向
G‧‧‧間隙
O1‧‧‧通孔開口
O2‧‧‧開口
O3‧‧‧溝槽開口
本揭露之態樣可從以下的詳細說明及隨附的圖式理解。值得注意的是,根據產業上的實際應用,各個特徵並未按照比例繪製,事實上,各個特徵的尺寸可以任意的放大或縮小,以利清楚地說明。
第1圖至第9圖係根據本揭露之一些實施方式之各階段處的用於製造內連接結構之方法之剖面圖。
以下提供本揭露之多種不同的實施方式或實施例,以實現本揭露的不同技術特徵。元件的實施方式和配置係如下所述以簡化本揭露。當然,這些敘述僅為示例,而非用以限制本揭露。舉例而言,第一特徵係形成於第二特徵上之敘述可包括第一特徵與第二特徵係直接接觸的實施方式,亦可包括額外特徵形成於第一與第二特徵之間的實施方式,使得第一特徵與第二特徵可非直接接觸。此外,本揭露可重複地使用元件符號於多個實施方式中。此重複係為了簡潔,並非用以討論各個實施方式及/或配置之間的關係。
另外,空間相對用語,如「下」、「下方」、「低」、「上」、「上方」等,是用以方便描述一元件或特徵與其他元件或特徵在圖式中的相對關係。除了圖式中所示之方位以外,這些空間相對用語亦可用來幫助理解元件在使用或操作時的不同方位。當元件被轉向其他方位(例如旋轉90度或其他方位)時,本文所使用的空間相對敘述亦可幫助理解。
第1圖至第9圖係根據本揭露一些實施方式之用於製造內連接結構之方法在各個階段下的剖面圖。
參照第1圖。襯墊層104係形成於非絕緣結構102上。非絕緣結構102係指由一或更多種非絕緣材料、多晶矽、金屬、導電材料、半導體材料或上述之組合形成的結 構。非絕緣結構102可做為半導體裝置(諸如鰭式場效電晶體(fin field effect transistor;FinFET))之閘極、源極/汲極區域。
在一些實施方式中,當非絕緣結構102做為FinFET之閘極時,可藉由後閘極製程形成非絕緣結構102。示例性後閘極製程可包括在半導體鰭片上形成虛設閘極結構,此虛設閘極結構可包括諸如多晶矽等材料,在虛設閘極結構旁形成間隔物,此間隔物可包括諸如氧化矽、氮化矽、氧氮化矽、碳化矽等材料,移除虛設閘極結構以在間隔物之間形成溝槽,及在間隔物之間的溝槽中形成至少一金屬層以形成非絕緣結構102。金屬層可包括適於形成閘極或閘極的一部分之金屬材料,此金屬材料可包括功函數層、襯墊層、介面層、晶種層、黏著層及阻障層等等。在一些實施方式中,金屬層可包括適宜金屬,諸如氮化鈦(TiN)、氮化鎢(WN)、氮化鉭(TaN)或釕(Ru),其可用於p型FinFET中。在其他實施方式中,金屬層可包括適宜金屬,諸如鈦Ti、銀(Ag)、鋁(Al)、鈦鋁(TiAl)、氮化鈦鋁(TiAlN)、碳化鈦鋁(TiAlC)、碳氮化鈦鋁(TiAlCN)、碳化鉭(TaC)、碳氮化鉭(TaCN)、氮矽化鉭(TaSiN)、錳(Mn)或鋯(Zr),其可用於n型FinFET中。
在一些實施方式中,當非絕緣結構102做為源極/汲極區域時,示例性形成製程可包括藉由使用離子植入將n型摻雜物(諸如磷)或p型摻雜物(諸如硼)摻入未被間隔物覆蓋的半導體鰭片及閘極之至少一部分中。形成源極 /汲極區域之另一示例性製程可包括在鄰近於間隔物的鰭片中形成至少一源極/汲極凹槽,在源極/汲極凹槽中形成晶種層,在源極/汲極凹槽中的晶種層上形成鬆弛磊晶層,在源極/汲極凹槽中的鬆弛磊晶層上形成磊晶層,使得晶種層、鬆弛磊晶層及磊晶層形成源極/汲極應力源(stressor)以做為源極/汲極區域。在一些實施方式中,源極/汲極應力源包括例如磷化矽(SiP)或碳磷化矽(SiCP),此應力源能夠誘發半導體鰭片中的n型通道的拉應變。在一些其他實施方式中,源極/汲極應力源包括矽鍺(SiGe),此應力源能夠誘發半導體鰭片中的p型通道的壓應變。
襯墊層104可做為蝕刻終止層,蝕刻終止層在形成通孔開口及/或溝槽開口的蝕刻製程期間,可保護非絕緣結構102。在一些實施方式中,襯墊層104可包括介電材料,諸如碳化矽、氮化矽或摻碳氮化矽。在一些實施方式中,襯墊層104可包括導電材料,諸如鈦(Ti)、氮化鈦(TiN)、碳化鈦(TiC)、碳氮化鈦(TiCN)、鉭(Ta)、氮化鉭(TaN)、碳化鉭(TaC)、碳氮化鉭(TaCN)、鎢(W)、氮化鎢(WN)、碳化鎢(WC)、碳氮化鎢(WCN)、鈦鋁(TiAl)、氮化鈦鋁(TiAlN)、碳化鈦鋁(TiAlC)或碳氮化鈦鋁(TiAlCN)。在一些實施方式中,可使用化學氣相沉積(chemical vapor deposition;CVD)、高密度電漿(high density plasma;HDP)CVD、次大氣壓CVD(sub-atmospheric CVD;SACVD)、分子層沉積(molecular layer deposition;MLD)、濺鍍、物理氣相沉積(physical vapor deposition; PVD)、電鍍或其他適宜技術,來沉積襯墊層104。舉例而言,在一些實施方式中,可在小於約10毫托之壓力下及在自350℃至約500℃之溫度範圍內實施MLD製程。在一些實施方式中,藉由使矽源化合物與氮源反應在非絕緣結構102之頂表面上沉積氮化矽。矽源化合物提供矽至所沉積之氮化矽且可包括矽烷(SiH4)或四乙氧基矽烷(tetrathoxysilane;TEOS)。氮源提供氮至所沉積之氮化矽且可包括氨氣(NH3)或氮氣(N2)。在一些其他實施方式中,藉由反應碳源化合物、矽源化合物與氮源反應以在非絕緣結構102之頂表面上沉積摻碳氮化矽。碳源化合物可包括有機化合物,諸如碳氫化合物(例如,乙烯(C2H6))。
介電結構106係形成於襯墊層104及非絕緣結構102上。介電結構106可為包括介電材料的層間介電(interlayer dielectric;ILD)層。介電材料可包括四乙氧基矽烷(TEOS)、超低k(extreme low-k;ELK)介電材料、無氮抗反射塗層(nitrogen-free anti-reflective coating;NFARC)、氧化矽、氮化矽、氧氮化矽、磷矽玻璃(phosphosilicate glass;PSG)、硼磷矽玻璃(borophosphosilicate glass;BPSG)、旋塗玻璃(spin-on glass;SOG)、氟化矽玻璃(fluorinated silica glass;FSG)、摻碳氧化矽(例如,SiCOH)、乾凝膠、氣凝膠、非晶氟化碳、聚對二甲苯基、雙苯并環丁烯(BCB)、聚醯亞胺及/或上述之組合。ELK介電材料具有小於例如約2.5的介電常數。可理解到,介電結構106可包括一或更多種介電材 料及/或一或更多個介電層。在一些實施方式中,介電結構106可藉由CVD、HDP CVD、SACVD、旋塗、濺射或其他適宜技術沉積在襯墊層104上。
抗反射層108係形成於介電結構106上。抗反射層108可由有機材料、氧化材料、氮化材料或類似者形成。抗反射層108可藉由使用適宜技術(諸如CVD)來形成。
蝕刻製程可執行於抗反射層108及介電結構106,以在抗反射層及介電結構中形成通孔開口O1。舉例而言,可在介電結構106上方形成光阻層(未圖示),且接著圖案化光阻層以形成具有通孔開口O1之影像的光阻遮罩。使用光阻層做為遮罩,隨後將通孔開口O1之圖案蝕刻至介電結構106中。在蝕刻製程後,藉由例如氧電漿灰化等製程移除光阻遮罩。形成通孔開口O1之蝕刻製程可被襯墊層104終止,使得在此步驟中,通孔開口O1係位於襯墊層104上。換言之,襯墊層104可藉由通孔開口O1曝露。在一些實施方式中,蝕刻製程可為乾式蝕刻、濕式蝕刻及/或電漿蝕刻製程。形成通孔開口O1之蝕刻製程可採用四氟甲烷(CF4)、三氟甲烷(CHF3)及氧之混合物做為蝕刻氣體。
遮罩層110係形成於抗反射層108上。遮罩層110具有開口O2。開口O2曝露抗反射層108的一部分,使得蝕刻製程可執行於抗反射層108之曝露部分及其下方的部分介電結構106,以便在後續步驟中形成溝槽開口。在一些實施方式中,遮罩層110可為硬遮罩,諸如氮化矽(Si3N4)。硬遮罩110與抗反射層108及介電結構106相比具 有相對較高的抗蝕刻性。硬遮罩110之形成包括在抗反射層108上形成硬遮罩層及隨後圖案化硬遮罩層以形成具有開口O2之影像的硬遮罩。在一些其他實施方式中,遮罩層110可為光阻層。遮罩層110之形成包括在抗反射層108上形成光阻層及隨後圖案化光阻層,以形成具有開口O2之影像的光阻遮罩。
參照第2圖。保護層202係至少形成於介電結構106上。詳言之,保護層202可共形地形成於遮罩層110之頂表面、抗反射層108之頂表面、襯墊層104之頂表面、開口O2之內周面及通孔開口O1之內周面上。在一些實施方式中,在形成溝槽開口之前,保護層202係至少部分地形成於介電結構106之頂表面的一部分上,且該部分為介電結構106之頂表面之待形成溝槽開口之部分。保護層202與介電結構106具有不同蝕刻特性。更特定言之,保護層202的材料之蝕刻選擇性與與預蝕刻的介電結構106之蝕刻選擇性不同。舉例而言,保護層202可包括介電材料,諸如氮化矽(SiN)或氧氮化矽(SiON),而介電結構106可包括氧化矽。本文中的「蝕刻選擇性」為在單個蝕刻製程中所蝕刻移除的蝕刻目標材料的量與所蝕刻移除的光阻劑的量之比率。在形成溝槽開口之後續蝕刻製程期間,保護層202之蝕刻選擇性比介電結構106之蝕刻選擇性低,使得保護層202相較於介電結構106,係較不容易被蝕刻的。因此,保護層202可防止其下方的介電結構106被過度蝕刻。保護層之形成可藉由原子層沉積(atomic layer deposition;ALD)製程或CVD 製程來實現。舉例而言,保護層202可為藉由ALD形成之氮化矽層。形成氮化矽層的ALD包括多個氮化矽沉積循環。每個氮化矽沉積循環可包括使遮罩層110、抗反射層108及通孔開口O1之表面與矽前驅物接觸,使得矽前驅物吸附於這些表面上,並使這些表面與氮前驅物接觸。氮化矽沉積循環可重複地執行以形成預設厚度及成分的氮化矽層。這樣的方式下所形成的氮化矽層可做為保護層202。
參照第3圖。未被遮罩層110覆蓋的保護層202、抗反射層108及介電結構106之部分可被移除,以在介電結構106中形成溝槽開口O3。換言之,使介電結構106發生凹陷以形成溝槽開口O3。移除製程可為蝕刻製程,諸如非等向性蝕刻製程。非等向性蝕刻製程可為例如基於四氟化碳(CF4)或基於八氟環丁烷(C4F8)的乾式蝕刻製程。此移除製程在溝槽開口O3下形成通孔定義部分310。更特定言之,位於溝槽開口O3下方的剩餘介電結構106的至少一部分可做為通孔定義部分310,通孔定義部分310定義通孔開口O1,此通孔開口O1係位於溝槽開口O3與非絕緣結構102之間。溝槽開口O3具有凹槽322及底表面324。形成溝槽開口O3之蝕刻製程會使凹槽322進一步凹陷入底表面324中。由於保護層202與介電結構106之蝕刻選擇性的差異,保護層202之犧牲部分(覆蓋介電結構106之移除部分之部分保護層202)可減小凹槽322之深度,因此,非絕緣結構102可免於因形成溝槽開口O3之移除製程而被蝕刻或受損。在一些實施方式中,凹槽322係凹陷至通孔定義部分310中。換言 之,底表面324的一部分位於比通孔開口O1之頂部位置更低的高度。換言之,底表面324之最低位置至非絕緣結構102的最小距離小於通孔開口O1之頂部位置至非絕緣結構102的最小距離。在一些實施方式中,底表面324為不平坦的,且因此,通孔定義部分310為厚度變化部分,此部分因形成溝槽開口O3之移除製程而具有在不同位置具有不同厚度。在一些實施方式中,溝槽開口O3具有中心軸A,通孔定義部分310之厚度沿遠離溝槽開口O3之中心軸A的方向D減小。此厚度減小係由於形成溝槽開口O3之移除製程所造成的,且此移除製程亦形成不平坦的底表面324。
如第3圖所示,通孔定義部分310包括定義通孔開口O1的側壁312。換言之,側壁312為圍繞通孔開口O1的周壁。在一些實施方式中,在形成溝槽開口O3後,保護層202的一部分保留在通孔開口O1內及側壁312上。更詳細而言,保護層202之剩餘部分與側壁312接觸。
參照第4圖。另一保護層410係至少形成於底表面324上,此保護層410能夠填充凹槽322。換言之,保護層410係至少形成於凹槽322中。因此,溝槽開口O3中所填充之導電材料與底表面324的一部分(或凹槽322之底部)可被分離或隔開。此導電材料的形成將於下文所述之後續步驟中詳述。此分離或隔開可防止溝槽開口O3中所填充之導電材料接觸底表面324的一部分(或凹槽324之底部)。因此,當溝槽形成製程造成過度蝕刻入非絕緣結構102中時,可防止溝槽開口O3中所填充之導電材料接觸其下方之非絕 緣結構102。換言之,即使在一些情況中,凹槽322會形成至非絕緣結構102中,溝槽開口O3中的導電材料仍可與非絕緣結構102分離。在一些實施方式中,保護層410係不導電的,因此,即使在一些情況中,凹槽322會形成至非絕緣結構102中,保護層410仍可不造成非絕緣結構102與後續形成的導電材料之間不必要的電性連接。
在一些實施方式中,溝槽開口O3具有側壁320。保護層410係共形地形成於溝槽開口O3之底表面324及側壁320、遮罩層110、抗反射層108與介電結構106之內周面、遮罩層110之頂表面及通孔開口O1內的保護層202之內周面上。詳言之,保護層410具有內表面412及外表面414。內表面412與外表面414為相對的。內表面412至少與底表面324共形並接觸。因此,內表面412亦係至少部分不平坦的,且內表面412之形狀與底表面324之形狀對應。外表面414與內表面412不平行,從而可利於後續步驟中形成於外表面414上的導電材料,使此導電材料具有覆蓋且不平行於底表面324的表面。在一些實施方式中,內表面412至外表面414的距離為在不同位置是不同的,從而利於形成不平行的內表面412及外表面414。在一些實施方式中,內表面412至外表面414的距離沿遠離溝槽開口O3之中心軸A的方向D增加,從而利於內表面412與底表面324共形並利於外表面414與內表面412不平行。在一些實施方式中,覆蓋通孔定義部分310的保護層410的一部分在不同位置具有不同厚度,從而利於形成不平行的內表面412及外表面414。 在一些實施方式中,覆蓋底表面324的保護層410的部分具有沿遠離溝槽開口O3之中心軸A的方向D增加之厚度,從而利於內表面412與底表面324共形並利於外表面414與內表面412不平行。
保護層410與介電結構106具有不同蝕刻特性。更詳細而言,保護層410的材料之蝕刻選擇性與介電結構106之蝕刻選擇性不同。舉例而言,保護層410之材料可為介電材料,諸如氮化矽(SiN)或氧氮化矽(SiON),而介電結構106可包括氧化矽。在移除通孔開口O1下方之襯墊層104的一部分之後續蝕刻製程期間,保護層410之蝕刻選擇性比介電結構106之蝕刻選擇性低,使得保護層410相較於介電結構106,較不容易被蝕刻。因此,保護層410可防止過度蝕刻其下方的介電結構106。保護層410之形成可包括ALD製程或CVD製程。舉例而言,保護層410可為藉由ALD形成之氮化矽層。氮化矽層可藉由包括多個氮化矽沉積循環的ALD製程所形成。每個氮化矽沉積循環可包括使溝槽開口O3之底表面324及側壁320、遮罩層110之頂表面、通孔開口O1內的保護層202之內周面及遮罩層110、抗反射層108及介電結構106之內周面與矽前驅物接觸,使得矽前驅物吸附於這些表面上,並使這些表面與氮前驅物接觸。氮化矽沉積循環可重複地執行以形成預設厚度與成分的氮化矽層。這樣的方式所形成之氮化矽層可做為保護層410。
參照第5圖。位於通孔開口O1下方的部分襯墊層104可被移除,從而允許非絕緣結構102被通孔開口O1所 曝露。舉例而言,可藉由乾式蝕刻製程移除通孔開口O1下層的部分襯墊層104。乾式蝕刻製程可具有高選擇性以使得乾式蝕刻製程可終止於非絕緣結構102。舉例而言,可使用二氟甲烷(CH2F2)及氬(Ar)做為蝕刻氣體,並在約150至220W之功率及約10至45毫托之壓力下執行乾式蝕刻製程。由於在非絕緣結構102上配置襯墊層104,故可在形成通孔開口O1及溝槽開口O3之蝕刻製程期間減少非絕緣結構102之不必要的蝕刻。更詳細而言,襯墊層104可有益於使非絕緣結構102不具有由形成通孔開口O1及溝槽開口O3之蝕刻製程所造成的凹槽,從而增強半導體元件效能。
由於襯墊蝕刻製程係在形成保護層410後執行的,且保護層410與介電結構106具有不同蝕刻特性,保護層410可保護其下方的部分介電結構106(亦即,通孔定義部分310),使得此部分介電結構106在襯墊蝕刻製程期間免於遭受過度蝕刻,且還可防止凹槽322在襯墊蝕刻製程期間進一步形成至非絕緣結構102中。在一些實施方式中,在襯墊蝕刻製程期間,覆蓋通孔定義部分310的保護層410的一部分會被平滑化而形成圓形外表面414。此圓形外表面414與內表面412及底表面324的形狀不同。更特定言之,在一些實施方式中,圓形外表面414可朝向溝槽開口O3之中心軸A凸起,而內表面412及底表面324可朝向遠離溝槽開口O3的方向凸起。在此配置中,外表面414至內表面412及/或底表面324的距離沿遠離溝槽開口O3之中心軸A的方向D增加。在一些實施方式中,在襯墊移除製程後,保護層410 的一部分仍留在遮罩層110、抗反射層108及介電結構106之內周面上,且保護層410的一部分係留在通孔開口O1內的保護層202上。換言之,留在通孔開口O1中的保護層410的一剩餘部分由通孔開口O1內的保護層202圍繞。在藉由這樣的製程所得到的結構中,保護層202係位於保護層410與通孔開口O1之側壁312之間。
參照第6圖。導電結構600係形成於通孔開口O1及溝槽開口O3中並在保護層410上。導電結構600與溝槽開口O3之底表面324及側壁320分離或隔開。換言之,導電結構600係位於凹槽322外且與凹槽322之底部分離。更特定言之,保護層410的一部分係位於底表面324與導電結構600之間,以便將導電結構600與底表面324分離。在一些實施方式中,導電結構600包括氮化鈦(TiN)、氮化鉭(TaN)、鉭(Ta)、鈦(Ti)、鉿(Hf)、鋯(Zr)、鎳(Ni)、鎢(W)、鈷(Co)、銅(Cu)或鋁(Al)。在一些實施方式中,可藉由CVD、PVD、電鍍、ALD或其他適宜技術形成導電結構600。在一些實施方式中,導電結構600可包括疊層。疊層可進一步包括阻障金屬層、線性金屬層或濕潤金屬層。另外,導電結構600之厚度取決於通孔開口O1及溝槽開口O3之深度。導電結構600係被沉積直到實質上填滿或過度填充通孔開口O1及溝槽開口O3。
在一些實施方式中,當導電結構600過度填充溝槽開口O3時,導電結構600可包括下導電部分610、中間導電部分620及上導電部分630。中間導電部分620連接下 導電部分610及上導電部分630。下導電部分610填充通孔開口O1。更詳細而言,下導電部分610位於通孔開口O1中且由保護層410及202圍繞。換言之,在一些實施方式中,下導電部分610及保護層410及202可以同心方式排列於通孔開口O1內。換言之,保護層410及202係位於下導電部分610與通孔開口O1之側壁312之間。導電結構600之過度填充部分形成覆蓋遮罩層110的上導電部分630。中間導電部分620填充溝槽開口O3。中間導電部分620包括朝向底表面324的下表面622。下表面622及底表面324係被保護層410分離的。在此配置中,即使在一些情況中,由於過度蝕刻將凹槽322形成至非絕緣結構102中,仍可防止中間導電部分620之下表面622接觸下層非絕緣結構102。換言之,即使在一些情況中,凹槽322係形成至非絕緣結構102中,溝槽開口O3中的中間導電部分620可仍位於凹槽322外,且因此藉由保護層410與非絕緣結構102分離。
在一些實施方式中,導電結構600之中間導電部分620係共形地形成於保護層410上。詳言之,中間導電部分620之下表面622與覆蓋通孔定義部分310的外表面414的一部分共形。換言之,下表面622與外表面414具有相同形狀。因此,類似於外表面414,在一些實施方式中,中間導電部分620之下表面622至底表面324的距離為在不同位置係不同的。換言之,中間導電部分620之下表面622與底表面324不平行。在一些實施方式中,中間導電部分620 之下表面622至底表面324的距離沿遠離溝槽開口O3之中心軸A的方向D增加。
在一些實施方式中,中間導電部分620包括與溝槽開口O3之側壁320相對的側壁624。在溝槽開口O3之側壁320與導電結構600之中間導電部分620之側壁320之間定義間隙G。間隙G係被保護層410填滿的。在一些實施方式中,凹槽322位於間隙G與非絕緣結構102之間。在一些實施方式中,間隙G與凹槽322連通,且這兩者係由保護層410填滿的。
參照第7圖。在填充通孔開口O1及溝槽開口O3後,可執行化學機械研磨(chemical-mechanical polishing;CMP)製程以平坦化導電結構600。CMP製程可移除通孔開口O1及溝槽開口O3外的導電結構600之上導電部分630,當CMP製程抵達介電結構106時,可終止CMP製程並因而提供實質平坦表面。CMP製程移除抗反射層108及遮罩層110。
參照第8圖。溝槽開口O3中的保護層410的一部分可被移除以形成含有氣體的空間。換言之,在移除製程後,導電結構600與溝槽開口O3之側壁320及底表面324之間形成空間,且空間含有氣體或含有空氣。更詳細而言,間隙G及凹槽322中的保護層410之至少部分會被移除以形成含有氣體的空間。換言之,間隙G與凹槽322連通,且含有氣體或含有空氣。詳言之,在移除製程後,氣體至少分離導電結構600與凹槽322之底部,且氣體亦至少分離導電結構 600與溝槽開口O3之側壁320。移除製程包括選擇性蝕刻製程,此製程選擇性移除保護層410。舉例而言,保護層410可由氮化矽製成,且導電結構600及介電結構106可由與氮化矽不同的材料製成。移除製程包括選擇性蝕刻導電結構600與介電結構106之間的氮化矽層,選擇性蝕刻可藉由例如將元件浸泡在熱磷酸浴中長達一段時間來執行。
在一些實施方式中,由於含有氣體的凹槽322之一部分係藉由移除凹槽322中的保護層410所形成的,故含有氣體的凹槽322的此部分具有與位於前一步驟中的凹槽322中的保護層410之部分類似的形狀。更詳細而言,含有氣體的凹槽322在不同位置具有不同厚度。在一些實施方式中,含有氣體的凹槽322之厚度沿遠離溝槽開口O3之中心軸A的方向D增加。可理解的是,含有氣體的凹槽322及含有氣體的間隙G之形成為選擇性的。在一些其他實施方式中,內連接結構可仍包括位在間隙G及凹槽322中的保護層410,將導電結構600與介電結構106間隔分離。
參照第9圖。在一些實施方式中,在導電結構600及介電結構106上形成另一終止層910。在一些實施方式中,終止層910可包括介電材料,諸如碳化矽、氮化矽或摻碳氮化矽。在一些實施方式中,可藉由使用諸如CVD在導電結構600及介電結構106上沉積終止層910。在一些實施方式中,藉由使矽源化合物與氮源反應沉積氮化矽。矽源化合物提供矽至所沉積之氮化矽且可包括矽烷(SiH4)或四 乙氧基矽烷(TEOS)。氮源提供氮至所沉積之氮化矽且可包括氨氣(NH3)或氮氣(N2)。
在一些實施方式中,由於保護層係至少形成於溝槽開口之凹槽中,故溝槽開口中所形成之導電結構至少可與凹槽之底部分離。因此,即使由於一些情況中,過度蝕刻造成在非絕緣結構中形成凹槽,仍可防止導電結構形成至非絕緣結構中,而造成不必要的電性連接。
上文概述若干實施方式之特徵,使得熟習此項技術者可更好地理解本揭露之態樣。熟習此項技術者應瞭解,可輕易使用本揭露作為設計或修改其他製程及結構的基礎,以便實施本文所介紹之實施方式的相同目的及/或實現相同優勢。熟習此項技術者亦應認識到,此類等效結構並未脫離本揭露之精神及範疇,且可在不脫離本揭露之精神及範疇的情況下產生本文的各種變化、替代及更改。
102‧‧‧非絕緣結構
104‧‧‧襯墊層
106‧‧‧介電結構
202‧‧‧保護層
310‧‧‧通孔定義部分
320‧‧‧側壁
322‧‧‧凹槽
410‧‧‧保護層
600‧‧‧導電結構
910‧‧‧終止層
G‧‧‧間隙
O1‧‧‧通孔開口
O3‧‧‧溝槽開口

Claims (7)

  1. 一種內連接結構,包含:一非絕緣結構;一介電結構,位於該非絕緣結構上,其中該介電結構具有一溝槽開口及一通孔開口於其中,該溝槽開口具有一底表面及該底表面中的至少一凹槽,該通孔開口係位於該溝槽開口與該非絕緣結構之間;一導電結構,位於該溝槽開口及該通孔開口中,並電性連接該非絕緣結構,其中該導電結構至少與該凹槽之底部分離;以及至少一第一保護層,位於該導電結構與該通孔開口之至少一側壁之間以及該凹槽中。
  2. 如請求項1所述之內連接結構,其中該凹槽具有氣體於其中,該氣體至少分離該導電結構與該凹槽之該底部。
  3. 如請求項1所述之內連接結構,更包含:至少一第二保護層,位於該第一保護層與該通孔開口之該側壁之間。
  4. 一種內連接結構,包含:一非絕緣結構;一介電結構,位於該非絕緣結構上,其中該介電結構具有一溝槽開口及一通孔開口於其中,該通孔開口係位於 該溝槽開口與該非絕緣結構之間,其中該溝槽開口具有一凹陷底表面;一導電結構,位於該溝槽開口及該通孔開口中,並電性連接該非絕緣結構;以及至少一第一介電保護層,位於該導電結構與該通孔開口之至少一側壁之間以及該凹陷底表面上。
  5. 如請求項4所述之內連接結構,更包含:至少一第二介電保護層,位於該導電結構與該第一介電保護層之間。
  6. 一種形成一內連接結構之方法,包含:形成一襯墊層於一非絕緣結構上;形成一介電結構於該襯墊層上;形成至少一通孔開口於該介電結構中,其中位於該非絕緣結構上之該襯墊層的一部分係被該通孔開口所曝露的;形成至少一第一介電保護層於該介電結構之一頂表面的一部分以及該通孔開口之一內周面上;移除部分該第一介電保護層以及部分該介電結構以形成至少一溝槽開口於該介電結構中,其中該溝槽開口與該通孔開口連通,該溝槽開口具有一凹陷底表面;在形成該溝槽開口之後形成至少一第二介電保護層至少部分於該溝槽開口之該凹陷底表面上; 在該形成該第二介電保護層之後,移除該通孔開口曝露的該襯墊層之該部分以曝露該非絕緣結構;以及形成一導電結構於該溝槽開口及該通孔開口中,其中該導電結構電性連接該曝露的非絕緣結構。
  7. 如請求項6所述之形成內連接結構之方法,更包含:在該形成該導電結構之後,移除該溝槽開口中剩餘的該第二介電保護層。
TW105120576A 2015-10-20 2016-06-29 內連接結構及其形成方法 TWI611549B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562244022P 2015-10-20 2015-10-20
US62/244,022 2015-10-20
US14/983,412 US10541204B2 (en) 2015-10-20 2015-12-29 Interconnection structure and method of forming the same
US14/983,412 2015-12-29

Publications (2)

Publication Number Publication Date
TW201715688A TW201715688A (zh) 2017-05-01
TWI611549B true TWI611549B (zh) 2018-01-11

Family

ID=58524293

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105120576A TWI611549B (zh) 2015-10-20 2016-06-29 內連接結構及其形成方法

Country Status (4)

Country Link
US (2) US10541204B2 (zh)
KR (1) KR101848137B1 (zh)
CN (1) CN106992145B (zh)
TW (1) TWI611549B (zh)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9728501B2 (en) * 2015-12-21 2017-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming trenches
US9899320B2 (en) * 2016-02-05 2018-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnection and manufacturing method thereof
IT201700087201A1 (it) * 2017-07-28 2019-01-28 St Microelectronics Srl Dispositivo a semiconduttore e corrispondente metodo di fabbricazione di dispositivi a semiconduttore
IT201700087174A1 (it) * 2017-07-28 2019-01-28 St Microelectronics Srl Dispositivo a semiconduttore e corrispondente metodo di fabbricazione di dispositivi a semiconduttore
US10510696B2 (en) * 2017-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Company Ltd. Pad structure and manufacturing method thereof in semiconductor device
WO2019113482A1 (en) * 2017-12-08 2019-06-13 Tokyo Electron Limited High aspect ratio via etch using atomic layer deposition protection layer
KR102625567B1 (ko) * 2018-08-20 2024-01-16 삼성전자주식회사 반도체 장치 및 그 제조 방법
CN110858578B (zh) * 2018-08-23 2021-07-13 联华电子股份有限公司 管芯封环及其制造方法
US11177194B2 (en) * 2019-12-18 2021-11-16 Nanya Technology Corporation Semiconductor device with interconnect structure and method for preparing the same
WO2022218610A1 (en) * 2021-04-12 2022-10-20 Ams-Osram Ag Semiconductor device with sealed through-substrate via and method for producing thereof

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060030128A1 (en) * 2004-08-03 2006-02-09 Xiaomei Bu Structure and method of liner air gap formation
US20060057835A1 (en) * 2004-09-16 2006-03-16 International Business Machines Corporation Air-gap insulated interconnections
US20140131880A1 (en) * 2010-03-10 2014-05-15 International Business Machines Corporation Methods for fabrication of an air gap-containing interconnect structure
US20150044865A1 (en) * 2010-03-05 2015-02-12 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of making integrated circuits including air gaps around interconnect structures

Family Cites Families (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6348239B1 (en) 2000-04-28 2002-02-19 Simon Fraser University Method for depositing metal and metal oxide films and patterned films
TW584917B (en) * 2000-01-06 2004-04-21 Matsushita Electric Ind Co Ltd Method of forming interconnect
TW465039B (en) 2000-11-06 2001-11-21 United Microelectronics Corp Void-type metal interconnect and method for making the same
JP2002164428A (ja) * 2000-11-29 2002-06-07 Hitachi Ltd 半導体装置およびその製造方法
US6917109B2 (en) 2002-11-15 2005-07-12 United Micorelectronics, Corp. Air gap structure and formation method for reducing undesired capacitive coupling between interconnects in an integrated circuit device
US6955986B2 (en) 2003-03-27 2005-10-18 Asm International N.V. Atomic layer deposition methods for forming a multi-layer adhesion-barrier layer for integrated circuits
KR100571417B1 (ko) * 2003-12-31 2006-04-14 동부아남반도체 주식회사 반도체 소자의 듀얼 다마신 배선 및 그 제조 방법
JP2005203476A (ja) * 2004-01-14 2005-07-28 Oki Electric Ind Co Ltd 半導体装置の配線構造及びその製造方法
US6875694B1 (en) * 2004-02-10 2005-04-05 Advanced Micro Devices, Inc. Method of treating inlaid copper for improved capping layer adhesion without damaging porous low-k materials
US7560375B2 (en) 2004-09-30 2009-07-14 International Business Machines Corporation Gas dielectric structure forming methods
US7651942B2 (en) 2005-08-15 2010-01-26 Infineon Technologies Ag Metal interconnect structure and method
US20070126120A1 (en) 2005-12-06 2007-06-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device
US7488679B2 (en) 2006-07-31 2009-02-10 International Business Machines Corporation Interconnect structure and process of making the same
JP5103914B2 (ja) 2007-01-31 2012-12-19 富士通セミコンダクター株式会社 半導体装置の製造方法及び半導体装置
JP2009135139A (ja) 2007-11-28 2009-06-18 Toshiba Corp 半導体装置及びその製造方法
WO2009079657A2 (en) 2007-12-18 2009-06-25 Byung Chun Yang High yield and high throughput method for the manufacture of integrated circuit devices of improved integrity, performance and reliability
US8354751B2 (en) 2008-06-16 2013-01-15 International Business Machines Corporation Interconnect structure for electromigration enhancement
US8994179B2 (en) * 2008-08-29 2015-03-31 Infineon Technologies Ag Semiconductor device and method for making same
DE102008054068A1 (de) 2008-10-31 2010-06-24 Advanced Micro Devices, Inc., Sunnyvale Veringern von Metallhohlräumen in einem metallischen Schichtstapel eines Halbleiterbauelements durch Vorsehen einer dielektrischen Barrierenschicht
US8624342B2 (en) * 2010-11-05 2014-01-07 Invensas Corporation Rear-face illuminated solid state image sensors
US8765598B2 (en) * 2011-06-02 2014-07-01 Micron Technology, Inc. Conductive structures, systems and devices including conductive structures and related methods
CN103117244B (zh) 2011-11-16 2015-04-01 中芯国际集成电路制造(上海)有限公司 Ic内连线和层间介质层之间的空气间隔形成方法
US9269612B2 (en) * 2011-11-22 2016-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms of forming damascene interconnect structures
US9123706B2 (en) * 2011-12-21 2015-09-01 Intel Corporation Electroless filled conductive structures
US8916461B2 (en) * 2012-09-20 2014-12-23 International Business Machines Corporation Electronic fuse vias in interconnect structures
US9076715B2 (en) * 2013-03-12 2015-07-07 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure for connecting dies and methods of forming the same
US20150187701A1 (en) * 2013-03-12 2015-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Devices and Methods of Manufacture Thereof
US9520350B2 (en) * 2013-03-13 2016-12-13 Intel Corporation Bumpless build-up layer (BBUL) semiconductor package with ultra-thin dielectric layer
US9293392B2 (en) * 2013-09-06 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC interconnect apparatus and method
EP3767672A1 (en) * 2013-09-27 2021-01-20 Intel Corporation Low leakage non-planar access transistor for embedded dynamic random access memeory (edram)
US9054163B2 (en) * 2013-11-06 2015-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method for via plating with seed layer
US10056353B2 (en) * 2013-12-19 2018-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC interconnect apparatus and method
US9412719B2 (en) * 2013-12-19 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC interconnect apparatus and method
US9276057B2 (en) * 2014-01-27 2016-03-01 United Microelectronics Corp. Capacitor structure and method of manufacturing the same
KR102164797B1 (ko) * 2014-01-28 2020-10-13 삼성전자주식회사 오목한 구조를 갖는 반도체 소자 형성 방법 및 관련된 소자
US9257329B2 (en) 2014-02-20 2016-02-09 GlobalFoundries, Inc. Methods for fabricating integrated circuits including densifying interlevel dielectric layers
US9343357B2 (en) * 2014-02-28 2016-05-17 Qualcomm Incorporated Selective conductive barrier layer formation
US9123785B1 (en) * 2014-03-10 2015-09-01 Intermolecular, Inc. Method to etch Cu/Ta/TaN selectively using dilute aqueous HF/HCI solution
US9601348B2 (en) * 2014-03-13 2017-03-21 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and method of forming same
US9536920B2 (en) * 2014-03-28 2017-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked image sensor having a barrier layer
US9454631B2 (en) * 2014-05-23 2016-09-27 International Business Machines Corporation Stitch-derived via structures and methods of generating the same
US20150348874A1 (en) * 2014-05-29 2015-12-03 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC Interconnect Devices and Methods of Forming Same
JP2015233069A (ja) * 2014-06-09 2015-12-24 ルネサスエレクトロニクス株式会社 半導体装置及び半導体装置の製造方法
US9559135B2 (en) * 2014-08-20 2017-01-31 Taiwan Semiconductor Manufacturing Company Ltd. Conduction layer for stacked CIS charging prevention
US10204952B2 (en) * 2014-08-29 2019-02-12 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device having recess filled with conductive material and method of manufacturing the same
US9601689B2 (en) * 2014-09-11 2017-03-21 Kabushiki Kaisha Toshiba Memory device
US9437540B2 (en) * 2014-09-12 2016-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. Additional etching to increase via contact area
US9620453B2 (en) * 2014-10-13 2017-04-11 Globalfoundries Inc. Semiconductor structure including a layer of a first metal between a diffusion barrier layer and a second metal and method for the formation thereof
US9443956B2 (en) * 2014-12-08 2016-09-13 Globalfoundries Inc. Method for forming air gap structure using carbon-containing spacer
US9842765B2 (en) * 2015-03-16 2017-12-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US9779983B2 (en) * 2015-05-28 2017-10-03 Sandisk Technologies Llc Methods for forming air gaps in shallow trench isolation trenches for NAND memory

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060030128A1 (en) * 2004-08-03 2006-02-09 Xiaomei Bu Structure and method of liner air gap formation
US20060057835A1 (en) * 2004-09-16 2006-03-16 International Business Machines Corporation Air-gap insulated interconnections
US20150044865A1 (en) * 2010-03-05 2015-02-12 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of making integrated circuits including air gaps around interconnect structures
US20140131880A1 (en) * 2010-03-10 2014-05-15 International Business Machines Corporation Methods for fabrication of an air gap-containing interconnect structure

Also Published As

Publication number Publication date
US10535603B2 (en) 2020-01-14
US20170110398A1 (en) 2017-04-20
KR101848137B1 (ko) 2018-04-11
CN106992145B (zh) 2020-05-01
US10541204B2 (en) 2020-01-21
CN106992145A (zh) 2017-07-28
US20180174957A1 (en) 2018-06-21
TW201715688A (zh) 2017-05-01
KR20170046052A (ko) 2017-04-28

Similar Documents

Publication Publication Date Title
TWI611549B (zh) 內連接結構及其形成方法
US20210351065A1 (en) Interconnection structure with sidewall protection layer
KR102606765B1 (ko) 비아 플러그를 갖는 반도체 소자 및 그 형성 방법
US11948835B2 (en) Interconnection structure with anti-adhesion layer
JP5647727B2 (ja) デバイスを形成する方法およびデバイス
US10079205B2 (en) Interconnection structure and method of forming the same
US11011467B2 (en) Method of forming interconnection structure
TW201926549A (zh) 形成半導體結構的方法
TW202310174A (zh) 具有氣隙的半導體結構及用於製造該半導體結構的方法
KR20150092581A (ko) 배선 구조물 및 그 형성 방법
TW202240669A (zh) 半導體裝置的製造方法