CN106992145A - 互连结构及其形成方法 - Google Patents

互连结构及其形成方法 Download PDF

Info

Publication number
CN106992145A
CN106992145A CN201610903251.XA CN201610903251A CN106992145A CN 106992145 A CN106992145 A CN 106992145A CN 201610903251 A CN201610903251 A CN 201610903251A CN 106992145 A CN106992145 A CN 106992145A
Authority
CN
China
Prior art keywords
groove opening
groove
dielectric
uninsulated
via openings
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201610903251.XA
Other languages
English (en)
Other versions
CN106992145B (zh
Inventor
张哲诚
林志翰
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN106992145A publication Critical patent/CN106992145A/zh
Application granted granted Critical
Publication of CN106992145B publication Critical patent/CN106992145B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1042Formation and after-treatment of dielectrics the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1052Formation of thin functional dielectric layers
    • H01L2221/1057Formation of thin functional dielectric layers in via holes or trenches
    • H01L2221/1063Sacrificial or temporary thin dielectric films in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

一种互连结构包括非绝缘结构、介电结构和导电结构。该介电结构存在于非绝缘结构上。介电结构中具有沟槽开口和通孔开口。沟槽开口具有底面以及位于底面中的至少一个凹槽。通孔开口存在于沟槽开口和非绝缘结构之间。导电结构存在于沟槽开口和通孔开口中并且电连接至非绝缘结构。导电结构至少与凹槽的底面分隔开。本发明实施例涉及互连结及其形成方法。

Description

互连结构及其形成方法
技术领域
本发明实施例涉及互连结及其形成方法。
背景技术
双镶嵌工艺是用于在半导体器件中形成互连件的一种技术。随着部件尺寸越来越小,双镶嵌工艺提供了超越小几何尺寸的更精确的尺寸控制。因此,双镶嵌工艺适用于超大型规模集成(ULSI)电路技术,在半导体衬底中,越来越多的器件被封装到相同或更小的面积。
发明内容
根据本发明的一个实施例,提供了一种互连结构,包括:非绝缘结构;介电结构,存在于所述非绝缘结构上,其中,所述介电结构中具有沟槽开口和通孔开口,所述沟槽开口具有底面和位于所述底面中的至少一个凹槽,以及所述通孔开口存在于所述沟槽开口和所述非绝缘结构之间;以及导电结构,存在于所述沟槽开口和所述通孔开口中,并且所述导电结构电连接至所述非绝缘结构,其中,所述导电结构至少与所述凹槽的所述底面分隔开。
根据本发明的另一实施例,还提供了一种互连结构,包括:非绝缘结构;介电结构,存在于所述非绝缘结构上,其中,所述介电结构中具有沟槽开口和通孔开口,并且所述通孔开口存在于所述沟槽开口和所述非绝缘结构之间;导电结构,存在于所述沟槽开口和所述通孔开口中,并且所述导电结构电连接所述非绝缘结构;以及至少一个第一介电保护层,存在于所述导电结构和所述通孔开口的至少一个侧壁之间。
根据本发明的又另一实施例,还提供了一种形成互连结构的方法,包括:在非绝缘结构上形成衬垫层;在所述衬垫层上形成介电结构;在所述介电结构中形成至少一个通孔开口,其中,所述衬垫层的位于所述非绝缘结构上的部分由所述通孔开口暴露;在所述介电结构中形成至少一个沟槽开口,其中,所述沟槽开口与所述通孔开口连通;至少部分地在所述沟槽开口的底面上形成至少一个第一介电保护层;在形成所述第一介电保护层之后,去除所述衬垫层的由所述通孔开口暴露的所述部分以暴露所述非绝缘结构;以及在所述沟槽开口和所述通孔开口中形成导电结构,其中,所述导电结构电连接至暴露的所述非绝缘结构。
附图说明
当结合附图进行阅读时,从以下详细描述可最佳理解本发明的各个方面。应该指出,根据工业中的标准实践,各个部件未按比例绘制。实际上,为了清楚的讨论,各个部件的尺寸可以任意地增大或减小。
图1至图9是根据本发明的一些实施例的处于各个阶段的用于制造互连结构的方法的截面图。
具体实施方式
以下公开内容提供了许多用于实现所提供主题的不同特征的不同实施例或实例。下面描述了组件和布置的具体实例以简化本发明。当然,这些仅仅是实例,而不旨在限制本发明。例如,以下描述中,在第二部件上方或者上形成第一部件可以包括第一部件和第二部件直接接触形成的实施例,并且也可以包括在第一部件和第二部件之间可以形成额外的部件,从而使得第一部件和第二部件可以不直接接触的实例。此外,本发明可在各个实施例中重复参考标号和/或字符。该重复是为了简单和清楚的目的,并且其本身不指示所讨论的各个实施例和/或配置之间的关系。
而且,为便于描述,在此可以使用诸如“在…之下”、“在…下方”、“下部”、“在…之上”、“上部”等空间相对术语,以描述如图所示的一个元件或部件与另一个(或另一些)原件或部件的关系。除了图中所示的方位外,空间相对术语旨在包括器件在使用或操作中的不同方位。装置可以以其他方式定向(旋转90度或在其他方位上),而本文使用的空间相对描述符可以同样地作出相应的解释。
图1至图9是根据本发明的一些实施例的处于各个阶段的用于制造互连结构的方法的截面图。
参照图1,在非绝缘结构102上形成了衬垫层104。非绝缘结构102指的是由非绝缘材料、多晶硅、金属、导电材料、半导体材料或它们的组合的一个或多个形成的结构。非绝缘结构102可以用作诸如鳍式场效应晶体管(FinFET)的半导体器件的栅电极、源极/漏极区域。
在一些实施例中,当非绝缘结构102用作FinFET的栅电极时,可以通过后栅极工艺形成非绝缘结构102。示例性后栅极工艺可以包括在半导体鳍上形成包括材料(诸如多晶硅)的伪栅极结构,在伪栅极结构旁边形成包括材料(诸如氧化硅、氮化硅、氮氧化硅、碳化硅)的间隔件,去除伪栅极结构以在间隔件之间形成沟槽,并且在间隔件之间的沟槽中形成至少一个金属层以形成非绝缘结构102。该金属层可以包括适用于形成栅电极或它们的部分(包括功函层、衬垫层、界面层、晶种层、粘合层、阻挡层等)的金属材料。在一些实施例中,在p-型FinFET中实施的金属层可以包括诸如TiN、WN、TaN或Ru的合适的金属。在一些可选实施例中,在n-型FinFET中实施的金属层可以包括诸如Ti、Ag、Al、TiAl、TiAlN、TiAlC、TiAlCN、TaC、TaCN、TaSiN、Mn或Zr的合适的金属。
在一些实施例中,当非绝缘层102用作源极/漏极区域时,示例性形成工艺可以包括通过使用离子注入将诸如磷的n-型掺杂剂或诸如硼的p-型掺杂剂掺杂至半导体鳍的未由间隔件和栅电极覆盖的至少一部分。形成源极/漏极区域的另一示例性工艺可以包括在邻近于间隔件的鳍中形成至少一个源极/漏极凹槽,在源极/漏极凹槽中形成晶种层,在源极/漏极凹槽中的晶种层上形成弛豫外延层,在源极/漏极凹槽中的弛豫(relaxed)外延层上形成外延层,从而使得晶种层、弛豫外延层和外延层形成了用作源极/漏极区域的源极/漏极应力源。在一些实施例中,例如,能够对半导体鳍中的n-型沟道引起拉伸应变的源极/漏极应力源包括SiP、SiP或SiCP。在一些其他实施例中,能够对半导体鳍中的p-型沟道引起压缩应变的源极/漏极应力源包括SiGe。
衬垫层104可以用作蚀刻停止层,在用于形成通孔开口和/或沟槽开口的蚀刻工艺期间,保护非绝缘结构102。在一些实施例中,衬垫层104可以包括诸如碳化硅、氮化硅或碳掺杂的氮化硅的介电材料。在一些实施例中,衬垫层104可以包括诸如Ti、TiN、TiC、TiCN、Ta、TaN、TaC、TaCN、W、WN、WC、WCN、TiAl、TiAlN、TiAlC或TiAlCN的导电材料。在一些实施例中,可以使用化学汽相沉积(CVD)、高密等离子体(HDP)CVD、次大气压CVD(SACVD)、分子层沉积(MLD)、溅射、物理汽相沉积(PVD)、镀或其他合适的技术沉积衬垫层104。例如,在一些实施例中,在小于约10mTorr的压力下以及在从约350℃至约500℃的范围内的温度下执行MLD工艺。在一些实施例中,通过硅源化合物与氮源反应在非绝缘结构102的顶面上沉积氮化硅。硅源化合物提供硅以沉积氮化硅并且可以包括硅烷(SiH4)或正硅酸乙酯(TEOS)。氮源提供氮以沉积氮化硅并且可以包括氨气(NH3)或氮气(N2)。在一些其他实施例中,通过碳源化合物、硅源化合物和氮源反应在非绝缘结构102的顶面上沉积碳掺杂的氮化硅。碳源化合物可以包括诸如碳氢化合物(例如,乙烯(C2H4))的有机化合物。
在衬垫层104和非绝缘结构102上形成介电结构106。介电结构106可以是包括介电材料的层间介电(ILD)层。该介电材料可以包括正硅酸乙酯(TEOS)、极低k(ELK)介电材料、无氮抗反射涂(NFARC)、氧化硅、氮化硅、氮氧化硅、磷硅酸盐玻璃(PSG)、硼磷硅酸盐玻璃(BPSG)、旋涂玻璃(SOG)、氟化硅玻璃(FSG)、碳掺杂的氧化硅(例如,SiCOH)、干凝胶、凝胶、非晶氟化碳、聚对二甲苯、BCB(二苯并环丁烯)、聚酰亚胺和/或它们的组合。例如,ELK介电材料的介电常数小于2.5。应该理解,介电结构106可以包括一种或多种介电材料和/或一个或多个介电层。在一些实施例中,可以通过CVD、HDP CVD、SACVD、旋涂、溅射或其他合适的技术在衬垫层104上沉积介电结构106。
在介电结构106上形成抗反射层108。抗反射层108可以由有机材料、氧化物材料、氮化物材料等形成。可以通过使用诸如CVD的合适的技术形成抗反射层108。
对抗反射层108和介电结构106实施蚀刻工艺以在其中形成通孔开口O1。例如,光刻胶层(未示出)可以形成在介电结构106上方并且之后被图案化以形成具有通孔开口O1图像的光刻胶掩模。使用光刻胶层作为掩模,之后将通孔开口O1的图案蚀刻至介电结构106内。在蚀刻工艺之后,例如,通过氧等离子体灰化去除光刻胶掩模。形成通孔开口O1的蚀刻工艺由衬垫层104停止,从而使得这个步骤中通孔开口O1存在于衬垫层104上。换句话说,衬垫层104由通孔开口O1暴露。在一些实施例中,蚀刻工艺可以是干蚀刻、湿蚀刻和/或等离子体蚀刻工艺。形成通孔开口O1的蚀刻工艺可以采用四氟化碳(CF4)、三氟甲烷(CHF3)和氧气的混合物作为蚀刻气体。
在抗反射层108上形成掩模层110。掩模层110具有暴露部分抗反射层108的开口O2,从而使得可以对抗反射层108的暴露部分和下面的部分介电结构106实施蚀刻工艺以在之后的步骤中形成沟槽开口。在一些实施例中,掩模层110可以是诸如氮化硅(Si3N4)的硬掩模,与抗反射层108和介电结构106相比,该掩模层110具有相对高的蚀刻电阻率。硬掩模的形成包括在抗反射层108上形成硬掩模层并且之后图案化硬掩模以形成具有开口O2的图像的硬掩模。在一些其他实施例中,掩模层110可以是光刻胶层。掩模层110的形成包括在抗反射层108上形成光刻胶层并且之后图案化光刻胶层以形成具有开口O2的图像的光刻胶掩模。
参照图2。保护层202至少形成在介电结构106上。具体地,在掩模层110的顶面、抗反射层108的顶面、衬垫层104的顶面、开口O2的内圆周表面以及通孔开口O1的内圆周表面上共形地形成保护层202。在一些实施例中,在形成沟槽开口之前,保护层202至少部分地位于介电结构106的顶面的将形成沟槽开口的部分上。保护层202和介电结构106具有不同的蚀刻特性。更具体地,保护层202可以包括具有与预蚀刻介电结构106的蚀刻选择性不同的蚀刻选择性的材料。例如,保护层202可以包括诸如氮化硅(SiN)或氮氧化硅(SiON)的介电材料,并且介电结构106可以包括氧化硅。在这个上下文中的“蚀刻选择性”是单个蚀刻工艺中蚀刻掉的蚀刻目标材料的量与蚀刻掉的光刻胶的量的比率。在形成沟槽开口的随后的蚀刻工艺期间,保护层202的蚀刻选择性低于介电结构106的蚀刻选择性,从而与介电结构106相比,保护层202不易被蚀刻,并且因此,保护层202可以防止过蚀刻下面的介电结构106。可以通过原子层沉积(ALD)工艺或CVD工艺实现保护层202的形成。例如,保护层202可以是通过ALD形成的氮化硅层。用于形成氮化硅层的ALD包括多个氮化硅沉积循环。每个氮化硅沉积循环均可以包括将掩模层110、抗反射层108和通孔开口O1的表面与硅前体接触,从而使得硅前体吸附在这些表面上,以及使这些表面与氮前体接触。氮化硅沉积循环可以多次重复以获得形成的氮化硅层的期望的厚度和组成。这产生了用作保护层202的氮化硅层。
参照图3,去除保护层202的、抗反射层108的和介电层106的未由掩模层110覆盖的部分以在介电结构106中形成沟槽开口O3。换句话说,使介电结构106凹进以形成沟槽开口O3。该去除工艺可以是诸如各向异性蚀刻工艺的蚀刻工艺。例如,各向异性蚀刻工艺可以是CF4基或C4F8基干蚀刻工艺。这种去除工艺形成了位于沟槽开口O3下方的通孔限定部分310。更具体地,位于沟槽开口O3下面的剩余的介电结构106的至少一部分用作通孔限定部分310,该通孔限定部分310将通孔开口O1限定在沟槽开口O3和非绝缘结构102之间。沟槽开口O3具有凹槽322和底面324。因为形成沟槽开口O3的蚀刻工艺,凹槽322在底面324中凹进。由于介电层106和保护层202之间不同的蚀刻选择性,因此可以通过保护层202的牺牲的部分(覆盖介电结构106的被去除部分)来减小凹槽322的深度,从而使得非绝缘结构102可以没有因为形成沟槽开口O3的去除工艺被蚀刻或损坏。在一些实施例中,使凹槽322凹进至通孔限定部分310内。换句话说,部分底面324位于低于通孔开口O1的顶部位置的水平处。换句话说,从底面324的最低位置至非绝缘结构102的最小距离小于从通孔开口O1的顶部位置至非绝缘结构102的最小距离。在一些实施例中,底面324是不均匀的,并且因此,由于形成沟槽开口O3的去除工艺,通孔限定部分310是具有空间变化的厚度的厚度变化部分。在一些实施例中,沟槽开口O3具有中轴线A,并且通孔限定部分310的厚度沿着远离沟槽开口O3的中轴线A的方向D减小。该厚度减小是由形成沟槽开口O3的去除工艺引起的,并且也形成了不均匀底面324。
如图3所示,通孔限定部分310包括限定通孔开口O1的侧壁312。换句话说,侧壁312是围绕通孔开口O1的圆周壁。在一些实施例中,在形成沟槽开口O3之后,部分保护层202保留在通孔开口O1内和侧壁312上。更具体地,保护层202的剩余部分与侧壁312接触。
参照图4,另一保护层410(能够填充凹槽322)至少形成在底面324上。换句话说,保护层410至少形成在凹槽322中。因此,填充在沟槽开口O3中的导电材料(在以下更详细的讨论的随后的步骤中形成)与部分底面324(或凹槽322的底面)分隔开或间隔开。该分隔可以防止填充在沟槽开口O3中的导电材料与部分底面324(或凹槽324的底面)接触,并且因此,当沟槽形成工艺引起非绝缘结构102的过蚀刻时,防止了填充在沟槽开口O3中的导电材料与下面的非绝缘结构102接触。换句话说,在某些情况下,即使凹槽322形成至非绝缘结构102,沟槽开口O3中的导电材料也可以与非绝缘结构102分隔开。在一些实施例中,保护层410是非导电的,从而在某些情况下,即使凹槽322形成至非绝缘结构102,保护层410也可以不引起非绝缘结构102和随后形成的导电材料之间的不期望的电连接。
在一些实施例中,沟槽开口O3具有侧壁320。在沟槽开口O3的底面324和侧壁320,掩模层110、抗反射层108和介电结构106的内圆周表面,掩模层110的顶面和通孔开口O1内的保护层202的内圆周表面上共形地形成保护层410。具体地,保护层410具有内表面412和外表面414。内表面412和外表面414是相对的。内表面412至少共形于底面324并且与底面324接触,并且因此内表面412也是至少部分不均匀的,并且它们的形状与底面324的形状相对应。外表面414与内表面412不平行,这可以有益于随后的步骤中在外表面414上形成的导电材料具有位于底面324上面并且与底面324不平行的表面。在一些实施例中,从内表面412至外表面414的距离是空间变化的,这有益于形成不平行的内表面412和外表面414。在一些实施例中,从内表面412至外表面414的距离沿着远离沟槽开口O3的中心轴A的方向D增加,这有益于内表面412共形于底面324并且有益于外表面414与内表面412不平行。在一些实施例中,保护层410的位于通孔限定部分310上面的部分具有空间变化的厚度,这有益于形成不平行的内表面412和外表面414。在一些实施例中,保护层410的位于底面324上面的部分的厚度沿着远离沟槽开口O3的中心轴A的方向D增加,这有益于内表面412共形于底面324并且有益于外表面414与内表面412不平行。
保护层410和介电结构106具有不同的蚀刻特性。更具体地,保护层410可以包括具有与介电结构106的蚀刻选择性不同的蚀刻选择性的材料。例如,保护层410的材料可以是诸如氮化硅(SiN)或氮氧化硅(SiON)的电介质,并且介电结构106可以包括氧化硅。在去除衬垫层104的位于通孔开口O1下面的部分的随后的蚀刻工艺期间,保护层410的蚀刻选择性低于介电结构106的蚀刻选择性,从而使得与介电结构106相比,保护层410不易被蚀刻,并且因此,保护层410可以防止下面的介电结构106过蚀刻。保护层410的形成可以包括原子层沉积(ALD)工艺或CVD工艺。例如,保护层410可以是由ALD形成的氮化硅层。由ALD工艺形成氮化硅层包括多个氮化硅沉积循环,每个氮化硅沉积循环均可以包括将沟槽开口O3的底面324和侧壁320、掩模层110的顶面、通孔开口O1内的保护层202的内圆周表面以及掩模层110、抗反射层108和介电结构106的内圆周表面与硅前体接触,从而使得硅前体吸附在这些表面上,并且使这些表面与氮前体接触。氮化硅沉积循环可以多次重复以获得形成的氮化硅层的期望的厚度和组成。这产生了用作保护层410的氮化硅层。
参照图5,去除衬垫层104的位于通孔开口O1下面的部分,这允许通过通孔开口O1暴露非绝缘结构102。例如,可以通过使用干蚀刻工艺去除衬垫层104的位于通孔开口O1下面的部分。干蚀刻工艺可以具有高选择性,从而使得干蚀刻工艺可以停止在非绝缘结构102处。例如,可以在约150至220W的源功率下并且在约10至45mTorr的压力下使用CH2F2和Ar作为蚀刻气体实施干蚀刻工艺。在形成通孔开口O1和沟槽开口O3的蚀刻工艺期间,由于非绝缘结构102上的衬垫层104的引入,可以减小非绝缘结构102的不必要的蚀刻。更详细地,衬垫层104可以有益于形成非绝缘结构102而没有由形成通孔开口O1和沟槽开口O3的蚀刻工艺引起凹槽,从而增强了器件性能。
由于在形成保护层410之后实施衬垫蚀刻工艺,并且保护层410和介电结构106具有不同的蚀刻特性,因此保护层410可以保护介电结构106的下面的部分(即,通孔限定部分310)在衬垫蚀刻工艺期间免受过蚀刻的影响,并且可以防止凹槽322在衬垫蚀刻工艺期间进一步形成至非绝缘结构102内。在一些实施例中,在衬垫蚀刻工艺期间,保护层410的位于通孔限定部分310上面的部分可以是光滑的以形成圆形外表面414,并且该圆形外表面414的形状与内表面412和底面324不同。更具体地,在一些实施例中,圆形外表面414可以是朝向沟槽开口O3的中心轴A的凸面,而内表面412和底面324可以是朝向远离沟槽开口O3的方向的凸面。在这样的配置中,从外表面414至内表面412和/或底面324的距离沿着远离沟槽开口O3的中心轴A的方向D增加。在一些实施例中,在衬垫去除工艺之后,部分保护层410保留在掩模层110、抗反射层108和介电结构106的内圆周表面上,并且部分保护层410保留在通孔开口O1内的保护层202上。换句话说,保护层410的保留在通孔开口O1中的部分由通孔开口O1内的保护层202围绕。在这样产生的结构中,保护层202存在于保护层410和通孔开口O1的侧壁312之间。
参照图6。在通孔开口O1和沟槽开口O3中以及保护层410上形成导电结构600。导电结构600与沟槽开口O3的底面324和侧壁320分隔开或间隔开。换句话说,导电结构600位于凹槽322的外侧并且与凹槽322的底面分隔开。更具体地,部分保护层410存在于底面324和导电结构600之间,以间隔开导电结构600和底面324。在一些实施例中,导电结构600包括TiN、TaN、Ta、Ti、Hf、Zr、Ni、W、Co、Cu或Al。在一些实施例中,可以通过CVD、PVD、镀、ALD或其他合适的技术形成导电结构600。在一些实施例中,导电结构600可以包括层压板(Laminate)。该层压板可以进一步包括阻挡金属层、衬垫金属层或润湿金属层。此外,导电结构600的厚度依赖于通孔开口O1和沟槽开口O3的深度。沉积导电结构600直至基本填充或过填充通孔开口O1和沟槽开口O3。
在一些实施例中,当导电结构600过填充沟槽开口O3时,导电结构600可以包括下导电部分610、中导电部分620和上导电部分630。中导电部分620连接下导电部分610和上导电部分630。下导电部分610填充了通孔开口O1。更详细地,下导电部分610存在于通孔开口O1中并且由保护层410和202围绕。换句话说,在一些实施例中,在通孔开口O1内的下导电部分610以及保护层410和202以同心的方式布置。换句话说,保护层410和202存在于下导电部分610和通孔开口O1的侧壁312之间。导电结构600的过填充部分形成了位于掩模层110上面的上导电部分630。中导电部分620填充了沟槽开口O3。中导电部分620包括朝向底面324的下表面622。下表面622和底面324由保护层410分隔开。在这样的配置中,即使由于在某些情况下的过蚀刻使凹槽322形成至非绝缘结构102内,也防止了中导电部分620的下表面622与下面的非绝缘结构102接触。换句话说,即使在某些情况下凹槽322形成至非绝缘结构102内,沟槽开口O3中的中导电部分620也可以位于凹槽322的外侧,并且因此通过保护层410与非绝缘结构102分隔开。
在一些实施例中,在保护层410上共形地形成导电结构600的中导电部分620。具体地,中导电部分620的下表面622共形于外表面414的位于通孔限定部分310上面的部分。换句话说,下表面622和外表面414具有相同的形状。因此,在一些实施例中,类似于外表面414,从中导电部分620的下表面622至底表面324之间的距离是空间变化的。换句话说,中导电部分620的下表面622与底表面324是不平行的。在一些实施例中,从中导电部分620的下表面622至底表面324的距离沿着远离沟槽开口O3的中心轴A的方向D增加。
在一些实施例中,中导电部分620包括与沟槽开口O3的侧壁320相对的侧壁624。沟槽开口O3的侧壁320和导电结构600的中导电部分620的侧壁624限定了它们之间的间隙G。该间隙G填充有保护层410。在一些实施例中,凹槽322存在于间隙G和非绝缘结构102之间。在一些实施例中,间隙G与凹槽322连通,并且它们都填充有保护层410。
参照图7,在填充通孔开口O1和沟槽开口O3之后,实施化学机械抛光(CMP)工艺以平坦化导电结构600。CMP工艺去除了导电结构600的位于通孔开口O1和沟槽开口O3外部的上导电部分630,当到达介电结构106时,该CMP工艺可以停止,并且因此提供了基本平坦的表面。该CMP工艺去除了抗反射层108和掩模层110。
参照图8。去除沟槽开口O3中的部分保护层410以形成含气间隔。换句话说,在去除工艺之后,在导电结构600和沟槽开口O3的侧壁320和底面324之间形成间隔,并且该间隔是含气的或含空气的。更详细地,去除了间隙G中和凹槽322中的至少部分保护层410以形成含气间隔。换句话说,间隙G和凹槽322是连通的,并且它们是含气的或含空气的。具体地,在去除工艺之后,该气体至少将导电结构600与凹槽322的底面分隔开,并且该气体也至少将导电结构600与沟槽开口O3的侧壁320分隔开。该去除工艺包括选择性去除保护层410的选择蚀刻工艺。例如,保护层410可以由氮化硅制成,并且导电结构600和介电结构106可以由与氮化硅不同的材料制成。该去除工艺包括选择性地蚀刻位于导电结构600和介电结构106之间的氮化硅层,例如,这可以通过将器件浸在热磷酸浴中一段时间实施。
在一些实施例中,由于通过去除凹槽322中的部分保护层410形成含气凹槽322,因此这部分含气凹槽322的形状类似于存在于前一步骤中的保护层410的位于凹槽322中的部分。更详细地,含气凹槽322具有空间变化的厚度。在一些实施例中,含气凹槽322的厚度沿着远离沟槽开口O3的中心轴A的方向D增加。应该明白,含气凹槽322和含气间隙G的形成是可选择的。在一些其他实施例中,最终的互连结构仍可以包括间隙G和凹槽322中的保护层410以间隔开导电结构600和介电结构106。
参照图9,在一些实施例中,在导电结构600和介电结构106上形成另一停止层910。在一些实施例中,停止层910可以包括诸如碳化硅、氮化硅或碳掺杂的氮化硅的介电材料。在一些实施例中,可以通过使用诸如CVD在导电结构600和介电结构106上沉积停止层910。在一些实施例中,通过硅源化合物与氮源反应来沉积氮化硅。硅源化合物为沉积的氮化硅提供硅并且可以包括硅烷(SiH4)或正硅酸乙酯(TEOS)。氮源为沉积的氮化硅提供氮并且可以包括氨气(NH3)或氮气(N2)。
在一些实施例中,由于至少在沟槽开口的凹槽中形成保护层,因此在沟槽开口中形成的导电结构可以至少地与凹槽的底面分隔开。因此,即使某些情况下的由于过蚀刻使该凹槽形成至非绝缘结构内,也防止了该导电结构形成至非绝缘结构内而引起不期望的电连接。
根据本发明的一些实施例,一种互连结构包括非绝缘结构、介电结构和导电结构。该介电结构存在于非绝缘结构上。该介电结构中具有沟槽开口和通孔开口。该沟槽开口具有底面以及位于底面中的至少一个凹槽。该通孔开口存在于沟槽开口和非绝缘结构之间。该导电结构存在于沟槽开口和通孔开口中并且电连接至非绝缘结构。该导电结构至少与凹槽的底面分隔开。
根据本发明的一些实施例,一种互连结构包括非绝缘结构、介电结构、导电结构和至少一个第一介电保护层。该介电结构存在于非绝缘结构上。该介电结构中具有沟槽开口和通孔开口。该通孔开口存在于沟槽开口和非绝缘结构之间。该导电结构存在于沟槽开口和通孔开口之间并且电连接非绝缘结构。该第一介电保护层存在于导电结构和通孔开口的至少一个侧壁之间。
根据本发明的一些实施例,一种形成互连结构的方法包括在非绝缘结构上形成衬垫层,在衬垫层上形成介电结构以及在介电结构中形成至少一个通孔开口,其中,衬垫层的位于非绝缘结构上的部分由通孔开口暴露。在介电结构中形成至少一个沟槽开口,其中,该沟槽开口与通孔开口连通。在沟槽开口的底面上至少部分地形成至少一个第一介电保护层。在形成第一介电保护层之后,去除衬垫层的由通孔开口暴露的部分以暴露非绝缘结构。在沟槽开口和通孔开口中形成导电结构,其中,该导电结构电连接至暴露的非绝缘结构。
根据本发明的一个实施例,提供了一种互连结构,包括:非绝缘结构;介电结构,存在于所述非绝缘结构上,其中,所述介电结构中具有沟槽开口和通孔开口,所述沟槽开口具有底面和位于所述底面中的至少一个凹槽,以及所述通孔开口存在于所述沟槽开口和所述非绝缘结构之间;以及导电结构,存在于所述沟槽开口和所述通孔开口中,并且所述导电结构电连接至所述非绝缘结构,其中,所述导电结构至少与所述凹槽的所述底面分隔开。
在上述互连结构中,所述凹槽中具有气体,并且所述气体至少将所述导电结构与所述凹槽的所述底面分隔开。
在上述互连结构中,所述沟槽开口具有至少一个侧壁,所述导电结构的位于所述沟槽开口中的部分具有与所述沟槽开口的侧壁相对的至少一个侧壁,以及所述沟槽开口的侧壁和所述导电结构的所述部分的侧壁将间隙限定在所述沟槽开口的侧壁和所述导电结构的所述部分的侧壁之间。
在上述互连结构中,所述凹槽存在于所述间隙和所述非绝缘结构之间。
在上述互连结构中,所述间隙与所述凹槽连通。
在上述互连结构中,所述间隙中具有气体,并且所述气体至少将所述导电结构与所述沟槽开口的侧壁分隔开。
在上述互连结构中,还包括:至少一个第一保护层,存在于所述导电结构和所述通孔开口的至少一个侧壁之间。
在上述互连结构中,,所述第一保护层和所述介电结构具有不同的蚀刻特性。
在上述互连结构中,还包括:至少一个第二保护层,存在于所述第一保护层和所述通孔开口的侧壁之间。
在上述互连结构中,还包括:至少一个保护层,位于所述凹槽中。
在上述互连结构中,所述保护层是非导电的。
根据本发明的另一实施例,还提供了一种互连结构,包括:非绝缘结构;介电结构,存在于所述非绝缘结构上,其中,所述介电结构中具有沟槽开口和通孔开口,并且所述通孔开口存在于所述沟槽开口和所述非绝缘结构之间;导电结构,存在于所述沟槽开口和所述通孔开口中,并且所述导电结构电连接所述非绝缘结构;以及至少一个第一介电保护层,存在于所述导电结构和所述通孔开口的至少一个侧壁之间。
在上述互连结构中,所述第一介电保护层和所述介电结构具有不同的蚀刻特性。
在上述互连结构中,还包括:至少一个第二介电保护层,存在于所述导电结构和所述第一介电保护层之间。
在上述互连结构中,所述第二介电保护层和所述介电结构具有不同的蚀刻特性。
在上述互连结构中,所述沟槽开口具有底面,以及所述导电结构和所述沟槽开口的所述底面至少部分地分隔开。
根据本发明的又另一实施例,还提供了一种形成互连结构的方法,包括:在非绝缘结构上形成衬垫层;在所述衬垫层上形成介电结构;在所述介电结构中形成至少一个通孔开口,其中,所述衬垫层的位于所述非绝缘结构上的部分由所述通孔开口暴露;在所述介电结构中形成至少一个沟槽开口,其中,所述沟槽开口与所述通孔开口连通;至少部分地在所述沟槽开口的底面上形成至少一个第一介电保护层;在形成所述第一介电保护层之后,去除所述衬垫层的由所述通孔开口暴露的所述部分以暴露所述非绝缘结构;以及在所述沟槽开口和所述通孔开口中形成导电结构,其中,所述导电结构电连接至暴露的所述非绝缘结构。
在上述方法中,还包括:在形成所述沟槽开口之前,至少部分地在所述介电结构的顶面的将形成所述沟槽开口的部分上形成至少一个第二介电保护层。
在上述方法中,还包括:在形成所述导电结构之后,去除剩余在所述沟槽开口中的所述第一介电保护层。
在上述方法中,其中,所述第一介电保护层和所述介电结构具有不同的蚀刻特性。
上面概述了若干实施例的特征,使得本领域人员可以更好地理解本发明的方面。本领域人员应该理解,它们可以容易地使用本发明作为基础来设计或修改用于实施与本人所介绍实施例相同的目的和/或实现相同优势的其他工艺和结构。本领域技术人员也应该意识到,这种等同构造并不背离本发明的精神和范围,并且在不背离本发明的精神和范围的情况下,本文中它们可以做出多种变化、替换以及改变。

Claims (10)

1.一种互连结构,包括:
非绝缘结构;
介电结构,存在于所述非绝缘结构上,其中,所述介电结构中具有沟槽开口和通孔开口,所述沟槽开口具有底面和位于所述底面中的至少一个凹槽,以及所述通孔开口存在于所述沟槽开口和所述非绝缘结构之间;以及
导电结构,存在于所述沟槽开口和所述通孔开口中,并且所述导电结构电连接至所述非绝缘结构,其中,所述导电结构至少与所述凹槽的所述底面分隔开。
2.根据权利要求1所述的互连结构,其中,所述凹槽中具有气体,并且所述气体至少将所述导电结构与所述凹槽的所述底面分隔开。
3.根据权利要求1所述的互连结构,其中,所述沟槽开口具有至少一个侧壁,所述导电结构的位于所述沟槽开口中的部分具有与所述沟槽开口的侧壁相对的至少一个侧壁,以及所述沟槽开口的侧壁和所述导电结构的所述部分的侧壁将间隙限定在所述沟槽开口的侧壁和所述导电结构的所述部分的侧壁之间。
4.根据权利要求3所述的互连结构,其中,所述凹槽存在于所述间隙和所述非绝缘结构之间。
5.根据权利要求3所述的互连结构,其中,所述间隙与所述凹槽连通。
6.根据权利要求3所述的互连结构,其中,所述间隙中具有气体,并且所述气体至少将所述导电结构与所述沟槽开口的侧壁分隔开。
7.根据权利要求1所述的互连结构,还包括:
至少一个第一保护层,存在于所述导电结构和所述通孔开口的至少一个侧壁之间。
8.根据权利要求7所述的互连结构,其中,所述第一保护层和所述介电结构具有不同的蚀刻特性。
9.一种互连结构,包括:
非绝缘结构;
介电结构,存在于所述非绝缘结构上,其中,所述介电结构中具有沟槽开口和通孔开口,并且所述通孔开口存在于所述沟槽开口和所述非绝缘结构之间;
导电结构,存在于所述沟槽开口和所述通孔开口中,并且所述导电结构电连接所述非绝缘结构;以及
至少一个第一介电保护层,存在于所述导电结构和所述通孔开口的至少一个侧壁之间。
10.一种形成互连结构的方法,包括:
在非绝缘结构上形成衬垫层;
在所述衬垫层上形成介电结构;
在所述介电结构中形成至少一个通孔开口,其中,所述衬垫层的位于所述非绝缘结构上的部分由所述通孔开口暴露;
在所述介电结构中形成至少一个沟槽开口,其中,所述沟槽开口与所述通孔开口连通;
至少部分地在所述沟槽开口的底面上形成至少一个第一介电保护层;
在形成所述第一介电保护层之后,去除所述衬垫层的由所述通孔开口暴露的所述部分以暴露所述非绝缘结构;以及
在所述沟槽开口和所述通孔开口中形成导电结构,其中,所述导电结构电连接至暴露的所述非绝缘结构。
CN201610903251.XA 2015-10-20 2016-10-18 互连结构及其形成方法 Active CN106992145B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562244022P 2015-10-20 2015-10-20
US62/244,022 2015-10-20
US14/983,412 2015-12-29
US14/983,412 US10541204B2 (en) 2015-10-20 2015-12-29 Interconnection structure and method of forming the same

Publications (2)

Publication Number Publication Date
CN106992145A true CN106992145A (zh) 2017-07-28
CN106992145B CN106992145B (zh) 2020-05-01

Family

ID=58524293

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201610903251.XA Active CN106992145B (zh) 2015-10-20 2016-10-18 互连结构及其形成方法

Country Status (4)

Country Link
US (2) US10541204B2 (zh)
KR (1) KR101848137B1 (zh)
CN (1) CN106992145B (zh)
TW (1) TWI611549B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110034122A (zh) * 2017-11-29 2019-07-19 台湾积体电路制造股份有限公司 在半导体装置中的接垫结构和其制造方法
CN113454775A (zh) * 2018-11-28 2021-09-28 超级电力研究所 包括电源模块和电弧闪光护套的系统

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9728501B2 (en) * 2015-12-21 2017-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming trenches
US9899320B2 (en) * 2016-02-05 2018-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnection and manufacturing method thereof
IT201700087174A1 (it) * 2017-07-28 2019-01-28 St Microelectronics Srl Dispositivo a semiconduttore e corrispondente metodo di fabbricazione di dispositivi a semiconduttore
IT201700087201A1 (it) * 2017-07-28 2019-01-28 St Microelectronics Srl Dispositivo a semiconduttore e corrispondente metodo di fabbricazione di dispositivi a semiconduttore
WO2019113482A1 (en) * 2017-12-08 2019-06-13 Tokyo Electron Limited High aspect ratio via etch using atomic layer deposition protection layer
KR102625567B1 (ko) * 2018-08-20 2024-01-16 삼성전자주식회사 반도체 장치 및 그 제조 방법
CN110858578B (zh) * 2018-08-23 2021-07-13 联华电子股份有限公司 管芯封环及其制造方法
US11177194B2 (en) 2019-12-18 2021-11-16 Nanya Technology Corporation Semiconductor device with interconnect structure and method for preparing the same
WO2022218610A1 (en) * 2021-04-12 2022-10-20 Ams-Osram Ag Semiconductor device with sealed through-substrate via and method for producing thereof

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040097013A1 (en) * 2002-11-15 2004-05-20 Water Lur Air gap structure and formation method for reducing undesired capacitive coupling between interconnects in an integrated circuit device
US20060073695A1 (en) * 2004-09-30 2006-04-06 International Business Machines Corporation Gas dielectric structure forming methods
CN101609829A (zh) * 2008-06-16 2009-12-23 国际商业机器公司 用于增强抗电迁移性的互连结构和制作方法
TWI360181B (en) * 2005-12-06 2012-03-11 Taiwan Semiconductor Mfg Semiconductor device and fabrication method thereo
CN103117244A (zh) * 2011-11-16 2013-05-22 中芯国际集成电路制造(上海)有限公司 Ic内连线和层间介质层之间的空气间隔形成方法

Family Cites Families (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6348239B1 (en) 2000-04-28 2002-02-19 Simon Fraser University Method for depositing metal and metal oxide films and patterned films
TW584917B (en) * 2000-01-06 2004-04-21 Matsushita Electric Ind Co Ltd Method of forming interconnect
TW465039B (en) 2000-11-06 2001-11-21 United Microelectronics Corp Void-type metal interconnect and method for making the same
JP2002164428A (ja) * 2000-11-29 2002-06-07 Hitachi Ltd 半導体装置およびその製造方法
US6955986B2 (en) 2003-03-27 2005-10-18 Asm International N.V. Atomic layer deposition methods for forming a multi-layer adhesion-barrier layer for integrated circuits
KR100571417B1 (ko) * 2003-12-31 2006-04-14 동부아남반도체 주식회사 반도체 소자의 듀얼 다마신 배선 및 그 제조 방법
JP2005203476A (ja) * 2004-01-14 2005-07-28 Oki Electric Ind Co Ltd 半導体装置の配線構造及びその製造方法
US6875694B1 (en) * 2004-02-10 2005-04-05 Advanced Micro Devices, Inc. Method of treating inlaid copper for improved capping layer adhesion without damaging porous low-k materials
US7094669B2 (en) 2004-08-03 2006-08-22 Chartered Semiconductor Manufacturing Ltd Structure and method of liner air gap formation
US7285474B2 (en) 2004-09-16 2007-10-23 International Business Machines Corporation Air-gap insulated interconnections
US7651942B2 (en) 2005-08-15 2010-01-26 Infineon Technologies Ag Metal interconnect structure and method
US7488679B2 (en) 2006-07-31 2009-02-10 International Business Machines Corporation Interconnect structure and process of making the same
JP5103914B2 (ja) 2007-01-31 2012-12-19 富士通セミコンダクター株式会社 半導体装置の製造方法及び半導体装置
JP2009135139A (ja) 2007-11-28 2009-06-18 Toshiba Corp 半導体装置及びその製造方法
US8207060B2 (en) 2007-12-18 2012-06-26 Byung Chun Yang High yield and high throughput method for the manufacture of integrated circuit devices of improved integrity, performance and reliability
US8994179B2 (en) * 2008-08-29 2015-03-31 Infineon Technologies Ag Semiconductor device and method for making same
DE102008054068A1 (de) 2008-10-31 2010-06-24 Advanced Micro Devices, Inc., Sunnyvale Veringern von Metallhohlräumen in einem metallischen Schichtstapel eines Halbleiterbauelements durch Vorsehen einer dielektrischen Barrierenschicht
US8436473B2 (en) 2009-05-06 2013-05-07 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits including air gaps around interconnect structures, and fabrication methods thereof
US8642252B2 (en) 2010-03-10 2014-02-04 International Business Machines Corporation Methods for fabrication of an air gap-containing interconnect structure
US8624342B2 (en) * 2010-11-05 2014-01-07 Invensas Corporation Rear-face illuminated solid state image sensors
US8765598B2 (en) * 2011-06-02 2014-07-01 Micron Technology, Inc. Conductive structures, systems and devices including conductive structures and related methods
US9269612B2 (en) * 2011-11-22 2016-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms of forming damascene interconnect structures
WO2013095433A1 (en) * 2011-12-21 2013-06-27 Intel Corporation Electroless filled conductive structures
US8916461B2 (en) * 2012-09-20 2014-12-23 International Business Machines Corporation Electronic fuse vias in interconnect structures
US20150187701A1 (en) * 2013-03-12 2015-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Devices and Methods of Manufacture Thereof
US9076715B2 (en) * 2013-03-12 2015-07-07 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure for connecting dies and methods of forming the same
US9520350B2 (en) * 2013-03-13 2016-12-13 Intel Corporation Bumpless build-up layer (BBUL) semiconductor package with ultra-thin dielectric layer
US9293392B2 (en) * 2013-09-06 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC interconnect apparatus and method
WO2015047315A1 (en) * 2013-09-27 2015-04-02 Intel Corporation Low leakage non-planar access transistor for embedded dynamic random access memeory (edram)
US9054163B2 (en) * 2013-11-06 2015-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method for via plating with seed layer
US9412719B2 (en) * 2013-12-19 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC interconnect apparatus and method
US10056353B2 (en) * 2013-12-19 2018-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC interconnect apparatus and method
US9276057B2 (en) * 2014-01-27 2016-03-01 United Microelectronics Corp. Capacitor structure and method of manufacturing the same
KR102164797B1 (ko) * 2014-01-28 2020-10-13 삼성전자주식회사 오목한 구조를 갖는 반도체 소자 형성 방법 및 관련된 소자
US9257329B2 (en) 2014-02-20 2016-02-09 GlobalFoundries, Inc. Methods for fabricating integrated circuits including densifying interlevel dielectric layers
US9343357B2 (en) * 2014-02-28 2016-05-17 Qualcomm Incorporated Selective conductive barrier layer formation
US9123785B1 (en) * 2014-03-10 2015-09-01 Intermolecular, Inc. Method to etch Cu/Ta/TaN selectively using dilute aqueous HF/HCI solution
US9601348B2 (en) * 2014-03-13 2017-03-21 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and method of forming same
US9536920B2 (en) * 2014-03-28 2017-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked image sensor having a barrier layer
US9454631B2 (en) * 2014-05-23 2016-09-27 International Business Machines Corporation Stitch-derived via structures and methods of generating the same
US20150348874A1 (en) * 2014-05-29 2015-12-03 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC Interconnect Devices and Methods of Forming Same
JP2015233069A (ja) * 2014-06-09 2015-12-24 ルネサスエレクトロニクス株式会社 半導体装置及び半導体装置の製造方法
US9559135B2 (en) * 2014-08-20 2017-01-31 Taiwan Semiconductor Manufacturing Company Ltd. Conduction layer for stacked CIS charging prevention
US10204952B2 (en) * 2014-08-29 2019-02-12 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device having recess filled with conductive material and method of manufacturing the same
US9601689B2 (en) * 2014-09-11 2017-03-21 Kabushiki Kaisha Toshiba Memory device
US9437540B2 (en) * 2014-09-12 2016-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. Additional etching to increase via contact area
US9620453B2 (en) * 2014-10-13 2017-04-11 Globalfoundries Inc. Semiconductor structure including a layer of a first metal between a diffusion barrier layer and a second metal and method for the formation thereof
US9443956B2 (en) * 2014-12-08 2016-09-13 Globalfoundries Inc. Method for forming air gap structure using carbon-containing spacer
US9892957B2 (en) * 2015-03-16 2018-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US9779983B2 (en) * 2015-05-28 2017-10-03 Sandisk Technologies Llc Methods for forming air gaps in shallow trench isolation trenches for NAND memory

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040097013A1 (en) * 2002-11-15 2004-05-20 Water Lur Air gap structure and formation method for reducing undesired capacitive coupling between interconnects in an integrated circuit device
US20060073695A1 (en) * 2004-09-30 2006-04-06 International Business Machines Corporation Gas dielectric structure forming methods
TWI360181B (en) * 2005-12-06 2012-03-11 Taiwan Semiconductor Mfg Semiconductor device and fabrication method thereo
CN101609829A (zh) * 2008-06-16 2009-12-23 国际商业机器公司 用于增强抗电迁移性的互连结构和制作方法
CN103117244A (zh) * 2011-11-16 2013-05-22 中芯国际集成电路制造(上海)有限公司 Ic内连线和层间介质层之间的空气间隔形成方法

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110034122A (zh) * 2017-11-29 2019-07-19 台湾积体电路制造股份有限公司 在半导体装置中的接垫结构和其制造方法
CN110034122B (zh) * 2017-11-29 2021-07-27 台湾积体电路制造股份有限公司 在半导体装置中的接垫结构和其制造方法
CN113454775A (zh) * 2018-11-28 2021-09-28 超级电力研究所 包括电源模块和电弧闪光护套的系统

Also Published As

Publication number Publication date
US10535603B2 (en) 2020-01-14
KR20170046052A (ko) 2017-04-28
US20180174957A1 (en) 2018-06-21
US20170110398A1 (en) 2017-04-20
KR101848137B1 (ko) 2018-04-11
CN106992145B (zh) 2020-05-01
TWI611549B (zh) 2018-01-11
TW201715688A (zh) 2017-05-01
US10541204B2 (en) 2020-01-21

Similar Documents

Publication Publication Date Title
CN106992145A (zh) 互连结构及其形成方法
CN106935567B (zh) 互连结构及其形成方法
TWI559447B (zh) 半導體裝置與其形成方法
US20210313174A1 (en) Interconnect System with Improved Low-K Dielectrics
US10181421B1 (en) Liner recess for fully aligned via
TW201926436A (zh) 製造半導體裝置的方法及其結構
CN107046001A (zh) 半导体器件及其形成方法
US10998226B2 (en) Method of forming interconnection structure with anti-adhesion liner
US9472508B2 (en) Interconnect arrangement with stress-reducing structure and method of fabricating the same
TWI828622B (zh) 鰭式場效電晶體裝置結構及其形成方法
CN105742233B (zh) 用于形成具有部件开口的半导体器件的方法
US10079205B2 (en) Interconnection structure and method of forming the same

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant